毕业设计(论文)-niosii软核处理器设计研究-基于niosii的数字频率测量电路系统设计

毕业设计(论文)-niosii软核处理器设计研究-基于niosii的数字频率测量电路系统设计

ID:5671983

大小:1.65 MB

页数:40页

时间:2017-12-21

毕业设计(论文)-niosii软核处理器设计研究-基于niosii的数字频率测量电路系统设计_第1页
毕业设计(论文)-niosii软核处理器设计研究-基于niosii的数字频率测量电路系统设计_第2页
毕业设计(论文)-niosii软核处理器设计研究-基于niosii的数字频率测量电路系统设计_第3页
毕业设计(论文)-niosii软核处理器设计研究-基于niosii的数字频率测量电路系统设计_第4页
毕业设计(论文)-niosii软核处理器设计研究-基于niosii的数字频率测量电路系统设计_第5页
资源描述:

《毕业设计(论文)-niosii软核处理器设计研究-基于niosii的数字频率测量电路系统设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、毕业设计(论文)论文题目:NiosII软核处理器设计研究——基于NiosII的数字频率测量电路系统设计频率测量电路系统设计姓名:学号:学院:机电与信息工程学院专业:电子信息科学与技术年级:2007级指导教师:目录摘要IAbstractI一、绪论1二、NiosⅡ软核处理器的理论知识介绍1(一)NiosⅡ软核处理器的概述1(二)NiosⅡ软核处理器系统结构2(三)NiosⅡ软核处理器的三种内核的比较2(四)使用NiosⅡ软核处理器的优势31.提供合理的性能32.提升系统的性能33.延长产品的生命周期4三、频率测量原理与电路设计要求4四、频率测量电路的系统设计5五、频率测量电路的单元电路设计

2、6(一)计数器电路61.计数器电路的VHDL语言62.计数器电路的模拟测试8(二)计数器控制电路91.计数器控制电路的VHDL语言描述92.计数器控制电路的模拟测试10六、NiosⅡ软核处理器系统11(一)NiosⅡ软核处理器系统硬件电路111.新建NiosⅡ工程112.添加存储器ROM和RAM123.添加并配置NiosⅡCPUCore134.配置并行输入/输出(PIO)内核135.自动分配地址和中断优先级设置146.生成系统147.完成NiosⅡ软核处理器系统电路原理图15(二)频率测量电路的系统集成15(三)NiosⅡ软核处理器系统软件开发171.创建一个NiosⅡIDE工程172

3、.新建源文件,编写代码183.编译设置18(1)软件工程的编译设置18(2)系统工程的编译设置194.编译工程205.调试程序216.NiosⅡIDE程序运行21七、设计总结22参考文献24附录25(一)计数器电路的VHDL语言代码25(二)计数器控制电路的VHDL语言代码25(三)频率测量电路控制程序清单27谢辞32摘要随着电子技术的不断发展,电子产品向体积越来越小、设计时间越来越短、集成度越来越精密、产品周期越来越短、成本越来越低、功能越来越强大等方向发展。NiosⅡ嵌入式系统是一种面向用户的、可以灵活定制的通用RISC(精简指令集架构)嵌入式CPU。使用NiosⅡ处理器的用户可以

4、根据需要调嵌入式系统的特性、性能以及成本,快速使得产品推向市场,扩展产品的生命周期,并且避免处理器的更新换代。本设计结合QuatrtusⅡ、SOPCBuilder、NiosⅡIDE和ModelSim等多种技术实现基于NiosⅡ的数字电路频率测量电路系统的设计。本设计包含三部分电路设计:计数器电路、计数器控制电路、NiosⅡ软核处理器系统电路,其核心和难点是NiosⅡ软核处理器系统的硬件电路设计、NiosⅡIDE软件开发及系统集成。本设计完成了单元电路的设计、系统集成、NiosⅡ软核处理器系统的软硬件开发及用ModelSim仿真波形。关键词SOPCBuilderNiosⅡIDEModel

5、SimNiosⅡ频率测量AbstractWiththecontinuousdevelopmentofelectronictechnology,electronicproductsofsizeisgettingsmallerandsmaller,shorterandshorterdesigntime,moreandmoresophisticatedintegration,productlifecycleisbecomingshorterandshorter,IImoreandmorelow-cost,moreandmorepowerfulfeatures,andsoon.Theuseof

6、NiosⅡprocessoruserscanbaseontheirneedtotransferthecharacteristicsofembeddedsystems,performanceandcostofmakingproductstomarketquickly,andexpandtheproduct'slifecycleandtoavoidthereplacementoftheprocessor.NiosⅡembeddedsystemisanuser-orientatedandcanbeflexiblycustomizedcommon-useembeddedcpuwithRISC(

7、ReducedInstructionSetComputer).ThisdesigncombinesthetechnologyofQuartus2withSOPCBuilderandNiosⅡIDEandModelSimtoachievethedesignmentofthedigitalcircuitfrequencymeasurementsystembasedonNiosⅡ.Anditcontainsthreecircuitlayout:The

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。