FPGA第三讲课件——产生PWM、SPWM波.ppt

FPGA第三讲课件——产生PWM、SPWM波.ppt

ID:56390500

大小:2.39 MB

页数:39页

时间:2020-06-15

FPGA第三讲课件——产生PWM、SPWM波.ppt_第1页
FPGA第三讲课件——产生PWM、SPWM波.ppt_第2页
FPGA第三讲课件——产生PWM、SPWM波.ppt_第3页
FPGA第三讲课件——产生PWM、SPWM波.ppt_第4页
FPGA第三讲课件——产生PWM、SPWM波.ppt_第5页
资源描述:

《FPGA第三讲课件——产生PWM、SPWM波.ppt》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、FPGA产生PWM、SPWM波FPGA---PWMPWM是脉冲宽度调制(PulseWidthModulation)的简称,它在自动控制和计算机技术领域中都有广泛的应用。在电机控制、交流检测等实际应用系统中,PWM是整个系统的技术核心。因此,设计支持PWM输出的芯片(或独立的电路功能模块)实用价值很大。PWM调制原理PWM波通常由一列占空比不同的矩形脉冲构成,其占空比与信号的瞬时采样值成比例。图1所示为脉冲宽度调制系统的原理框图和波形图。该系统有一个比较器和一个周期为Ts的锯齿波发生器组成。语音信号如果大于锯齿波信号,比较器输出正常数A,否则输

2、出0。因此,从图1中可以看出,比较器输出一列下降沿调制的脉冲宽度调制波。PWM技术最初是在无线电技术中用于信号的调制,后来在低频大功率电路中得到了很好的应用。在直流伺服控制系统中,通过专用集成芯片或中小规模数字集成电路构成的传统PWM控制电路往往存在电路设计复杂、体积大、抗干扰能力差以及设计困难、设计周期长等缺点,因此,PWM控制电路的模块化、集成化已成为发展趋势。它不仅可以使系统体积减小、重量减轻且功耗降低,同时可使系统的可靠性大大提高。随着电子技术的发展,特别是ASIC(专用集成电路)设计技术的日趋完善,数字化的EDA(电子设计自动化)工

3、具给电子设计带来了巨大变革,在水声和超声、电机控制等许多应用场合,需要产生多路频率和脉冲宽度可调的PWM波形,这可通过FPGA丰富的硬件资源和可以配置I/O引脚来实现。嵌入式系统中FPGA的应用设计关键是系统软硬件功能的划分。1.单路PWM发生器结构和原理图2是实现的单路PWM硬件结构框图。CPU通过数据线向FPGA写入定时常数控制PWM的频率、初始相位和占空比,并通过外部启动信号控制PWM的启动。系统的工作过程:采用50M时钟脉冲信号作为PWM生成器的时钟信号。首先CPU计算出所需要输出PWM的频率,初始相位和占空比信息,通过数据线向FPG

4、A内部寄存器写入以上信息,并通过外部启动信号控制PWM的启动。2.多路PWM发生器的实现原理通过上面的设计可以实现单路的PWM的FPGA设计,利用FPGA来扩展I/O接口,可实现多路PWM(脉宽调制)输出,在超声、电机控制等许多应用场合,需要产生多路频率,和脉冲宽度可调的PWM波形。应用实现的单路的PWM的设计,可以推广到多路PWM设计,图4为多路PWM的系统原理框图。通过一个主控内部计算产生输出生成PWM所需的频率、初始相位、占空比等参数,后级可并联数个FPGA芯片,来生成PWM波形,每片FPGA内部又可以生成6-10路PWM信号,通过级联

5、FPGA,可以产生多路不同频率、占空比、初始相位的PWM信号,有较好的同步及一致性,对于需要多路PWM信号的系统实现有着很强的实用性。FPGA---SPWM正弦脉宽调制(SPWM)技术在交流调速系统中得到广泛应用,但SPWM的波形生成是应用中一个难点,目前形成SPWM的方法有:(1)由分立元件构成,结构简单,但可靠性和精度均不能满足要求;(2)采用计算机计算或查表方式,省却了硬件电路,但CPU负担很重;(3)采用专用集成电路,如SLE4520、SA4828等,但其功能固定,可扩展性差;(4)采用FPGA(现场可编门阵列),具有速度快、精度高且

6、可以在线编程修改等优点,是一种较好的方案。SPWM原理;用输出的正弦信号作为调制波,用高频三角波作为载波.SPWM两个参数:载波比:N=F三角/F正弦(最好为3的倍数)调制度:M=V正弦/V三角(<1)2SPWM波形控制器设计系统由直接频率生成器产生低频正弦信号,然后与累加累减计数器产生的数字高速三角波进行高速比较而产生SPWM,再经过死区控制模块产生死区。2.1系统构图图2是SPWM波形控制器结构框图。SPWM波形产生设计SPWM波是根据三角载波与正弦调制波的交点而得到的一系列脉冲,其幅度不变而宽度按正弦规律变化。利用FPGA生成SPWM信

7、号,需要将数字三角载波数据与正弦调制波数据进行实时比较。当三角波计数器数据比正弦调制波数据大时,输出1;当三角波计数器数据比正弦调制波数据小时,输出0。由此可以产生宽度按正弦变化但幅度不变的SPWM信号。5结论利用FPGA的高速、高集成度、易于编程的特点,设计一个SPWM波形控制器,既可以生成三相SPWM波形,也可以产生单相SPWM,只需改变程序即可。仿真和测试验证了设计的有效性,可以很方便地和单片机构成通用的交流调速系统,具有较好的应用价值。PWM是通过改变输出方波的占空比来改变等效的输出电压。广泛地用于电动机调速和阀门控制,比如我们现在的

8、电动车电机调速就是使用这种方式。SPWM,就是在PWM的基础上改变了调制脉冲方式,脉冲宽度时间占空比按正弦规率排列,这样输出波形经过适当的滤波可以做到正弦波输出。它

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。