基于FPGA的相位差测量模块的设计.doc

基于FPGA的相位差测量模块的设计.doc

ID:55767851

大小:70.50 KB

页数:24页

时间:2020-06-06

基于FPGA的相位差测量模块的设计.doc_第1页
基于FPGA的相位差测量模块的设计.doc_第2页
基于FPGA的相位差测量模块的设计.doc_第3页
基于FPGA的相位差测量模块的设计.doc_第4页
基于FPGA的相位差测量模块的设计.doc_第5页
资源描述:

《基于FPGA的相位差测量模块的设计.doc》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、基于FPGA的相位差测量模块的设计相位差测量设计思路相位差测量设计要求基于FPGA设计一个测量两路同频率信号相位差的模块,具体要求如下:测量信号频率范围:20Hz~20kHz,精度:2度,测量波形:方波。自行设计相位差可控双路输出脉冲源作为被测对象。发挥部分:(1)相位差和频率交替显示或同时显示(2)提高测量精度(3)拓宽频率范围到20Hz~200kHz(4)设计出一套相位计前置整形电路方案(采用模拟电路或者模数混合,仅设计和仿真,不制作),要求能自适应峰峰值在0.2V至5伏的非方波输入信号,尽量减少两路输入信号幅度不一致引入的误差,带宽不小于20Hz~20kHz,输出信号

2、能接入本课题设计的相位差测量模块。相位差测量设计方案根据题目要求,我们组把这个模块的设计分为四个子模块,分别为:信号源的发生、频率计的设计、相位差的测量和四位LED相位差显示。信号源的发生产生两路同频、相位差可控的信号;频率计的设计是借用信号源产生的信号,然后根据内部晶振产生闸门宽度为1秒的闸门信号,在高电平时开始计数,记得的周期个数,即信号源产生信号的频率;相位差的测量是先通过测量两路信号的上升沿之间内部晶振的周期数,然后由此周期数换算出相位差,再通过VHDL语言内部函数转换成十进制数输出到显示模块。RTL图如下:模块程序LIBRARYIEEE;USEIEEE.STD_L

3、OGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYkzysISPORT(CLK:INSTD_LOGIC;KG:INSTD_LOGIC;ZS:INNATURAL;KG_OUT:OUTSTD_LOGIC);ENDentitykzys;ARCHITECTUREoneOFkzysISSIGNALCNT:NATURAL;BEGINPROCESS(KG,CLK)BEGINIFKG='0'THENCNT<=0;KG_OUT<='0';ELSIFCLK'EVENTANDCLK='1'THENIFCNT

4、LSEKG_OUT<='1';ENDIF;ENDIF;ENDPROCESS;END;libraryieee;useieee.std_logic_1164.all;entityxhkisport(sw_1:instd_logic_vector(4downto0);f_out1:outnatural;y_out2:outnatural);endxhk;architectureoneofxhkisbeginprocess(sw_1)begincasesw_1iswhen"00001"=>f_out1<=;y_out2<=;when"00010"=>f_out1<=;y_out2<

5、=;when"00011"=>f_out1<=;y_out2<=;when"00100"=>f_out1<=6666;y_out2<=5556;when"00101"=>f_out1<=6666;y_out2<=11111;when"00110"=>f_out1<=6666;y_out2<=16667;when"00111"=>f_out1<=499;y_out2<=1806;when"01000"=>f_out1<=499;y_out2<=1667;when"01001"=>f_out1<=499;y_out2<=625;when"01010"=>f_out1<=82;y

6、_out2<=174;when"01011"=>f_out1<=82;y_out2<=81;when"01100"=>f_out1<=82;y_out2<=220;when"01101"=>f_out1<=49;y_out2<=32;when"01110"=>f_out1<=49;y_out2<=65;when"01111"=>f_out1<=49;y_out2<=122;when"10000"=>f_out1<=0;y_out2<=0;whenothers=>f_out1<=0;y_out2<=0;endcase;endprocess;end;LIBRARYIEEE;US

7、EIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYplfsqISPORT(clk:INSTD_LOGIC;ZS:INNATURAL;KG:INSTD_LOGIC;F_OUT:OUTSTD_LOGIC);END;ARCHITECTUREoneOFplfsqISSIGNALFULL:STD_LOGIC;BEGINPROCESS(clk)VARIABLECNT8:NATURAL;BEGINIFKG='0'THENFULL<='0';CNT8:=ZS

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。