基于fpga步进电机驱动控制系统设计

基于fpga步进电机驱动控制系统设计

ID:5381062

大小:604.00 KB

页数:4页

时间:2017-12-08

基于fpga步进电机驱动控制系统设计_第1页
基于fpga步进电机驱动控制系统设计_第2页
基于fpga步进电机驱动控制系统设计_第3页
基于fpga步进电机驱动控制系统设计_第4页
资源描述:

《基于fpga步进电机驱动控制系统设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、万方数据第34卷第6期2011年12月电子器件ChineseJournalofElectronDevicesV01.34No.6Dec.2011DesignonaDriveControlSystemofSteppedMotorBasedonFPGAQIUHui,LINWei+,HUANGShizhen(FuJianKeyLabofMicroelectronics&IntegratedCircuits,Fuzhou350002.China)Abstract:Thedrivecontrolsystemofst

2、eppedmotorbasedonFPGAwasanalyziedbytheworkingprincipleanddesignedbyveriloglanguage.Thesystemcallcontrolthesteppedmotoraccordingtoestablished孤Ide,thedirectionofrotationandpositioncontrolfunction.SimulationandsynthesisresultsshowthatthesystemCallnotonlyachi

3、evethesteppedmotordrivecontrol,butalsooptimizethetraditionalsystemstructure,andimprovethesystemofanti—interferenceabilityandstability.Itcanbeusedinmanyapplication棚s,such够IndustryAutomation,OfficeAutomationetc.Keywords:stepmotor;drivecontrol;Verilog;FPGAEE

4、ACC:8340doi:10.3969/j.issn.1005—9490.2011.06.020基于FPGA步进电机驱动控制系统的设计邱晖,林伟‘,黄世震(福建省微电子集成电路重点实验室,福州350002)摘要:通过对步进电机的驱动控制原理的分析,利用Verilog语言进行层次化设计,最后实现了基于FPGA步进电机的驱动控制系统。该系统可以实现步进电机按既定角度和方向转动及定位控制等功能。仿真和综合的结果表明,该系统不但可以达到对步进电机的驱动控制,同时也优化了传统的系统结构,提高了系统的抗干扰能力和稳定

5、性,可用于工业自动化、办公自动化等应用场合。关键词:步进电机;驱动控制;Verilog;FPGA中图分类号:TM394:TN709;TN492文献标识码:A文章编号:1005—9490(2011)06—0686—04随着数字化技术的发展以及步进电机(StepperMotor)本身技术的提高,步进电机将会在数字化制造时代发挥着重大的用途。步进电机易于控制、快速响应性好、并可在很宽的范围内进行平滑调速,是数控机床、打印机、绘图仪、机器人控制等自动控制系统中广泛应用的执行元件[1]。步进电机的驱动技术指的是用步

6、进电机驱动器的驱动级来实现步进电机各相绕组的通电和断电.同时也是对绕组承受的电压和电流进行控制的技术【2]。该驱动控制技术可以显著改善步进电机综合使用性能。步进电机和普通电机不同之处是步进电机接受脉冲信号的控制。正是这个特点,步进电机可以和现代的数字控制技术相结合[3]。在传统的驱动控制系统中。对于小功率直流电机步进电机的控制,常采用微处理器或单片机作为控制核心,但都暴露出控制电路体积较大和稳定性不高等缺点【4]。步进电机作为开环控制元件广泛应用于仪器仪表、电机一体化等方面.但受到自身制造工艺的限制它的步

7、距角一般比较大且是固定的.步进分辨率低、缺乏灵活性、在低频运收稿日期:201I一06—30修改日期:201I一07—30行时振动。这些缺点使得步进电机应用于要求较高的场合时,只能采取闭环控制,增加了系统的复杂性,严重限制了步进电机作为优良的开环控制元件的有效利用f5J。由于大规模可编程器件FPGA(FieldPro—grammableGateArray)具有体积小、改动灵活方便、性能高、功耗低、效率高的特点,完成数控部分的功能采用FPGA芯片是一种理想的解决方案[6】。本文主要介绍采用现场可编程门阵列.通

8、过Verilog语言编程来实现四相步进电机的控制。由于VerilogHDL的灵活性,可以对FPGA器件进行灵活的编程,实现复杂的控制算法,从而提高了控制性能,而且可以降低整个系统的体积和成本,增加系统的可靠性。系统的整体设计思路根据步进电机的控制原理⋯.系统设计采用模块化设计原则,按照现代EDA工程常用的“自上而下”的设计思想,进行功能分离并按照层次设计‘8I,用Verilog语言实现每个模块功能,最后将所有的模块进行综合,实

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。