使用示波器日常工作的测试技巧_pdf

使用示波器日常工作的测试技巧_pdf

ID:5363989

大小:5.54 MB

页数:54页

时间:2017-12-08

使用示波器日常工作的测试技巧_pdf_第1页
使用示波器日常工作的测试技巧_pdf_第2页
使用示波器日常工作的测试技巧_pdf_第3页
使用示波器日常工作的测试技巧_pdf_第4页
使用示波器日常工作的测试技巧_pdf_第5页
资源描述:

《使用示波器日常工作的测试技巧_pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、发挥示波器的潜能——日常工作中的测试技巧ᢈᨵ▲ 日程安排充分发掘示波器的潜能模拟与数字域联合调试简化FPGA系统调试数字系统电源带载/噪声/纹波测试低占空比信号测试᝞/ᦪ为什么要充分发掘示波器的潜能沥青硫磺汽油石蜡柴油聚乙烯润滑油示波器功能与资源采集触发存储分析模拟数据域联合调试iCaptureTM+iViewTM+iVerifyTM信号完整性调试、分析工具iLink™ᑖ᪆ᐹᒹiView™ᢈᑖ᪆ ᦑ教科书上的数字信号潜在的故障示

2、波器测量的数字信号iLink™ᑖ᪆ᐹᒹiCapture™ᢈᨬᮣḄ ᫀ传统信号探测方法iCapture探测方法逻辑探头示波器探头P69XX,P68XX逻辑探头多路测试点查看设计多路测试点查看PCB逻辑信息同时模拟/数字信息连接测试点模拟信息改变测试点TLA多域时间相关联合调试iViewTLA7BBxLogicAnalyzer&DPOOscilloscopeTLALogicAnalyzeriConnectSingle3GHzProbeAnalog50GHz20psTLA800MS/sFor

3、LAGlitchDet.64Mb/channelMuxSampler&ScopeDigitalMeas.TLA20psMagniVuTimingat128KbDPOscilloscopeUpto20GHzBandwidth4ChUpto50GS/sSampleRateUpto200MBRecordLengthiVerify定位问题使用LA复杂的触发定位问题•解决电路故障的思路:从宏观到微观–观察整个系统,然后发现故障现象、跟踪故障根源DigitalBusOperationIndividualDigi

4、talSignalsDigitalCloseUp(MagniVu)AnalogCharacteristicofProblemSignals实例:发现并定位MCU内存访问违规问题Step1:探测DirectProbing–模拟带宽3GHz–D-MaxTM高密度封装Fixture&Interposer–DDR1/2/3–PCIEGenI/IIStep2:使用LA寻找信号完整性问题•设定LA触发为Trigger:GlitchorSetup/HoldtimeViolationV(max)RiseThre

5、sholdFallThresholdV(min)T(su)T(hd)并且设置LA存储所有发现的毛刺Step3:理解LA的采集•捕获的毛刺用红色加亮显示•检查捕获的波形数据:关注出现问题的时序(highlightedinred)Step4:定位问题•使用MagniVuTM显示“问题”通道波形的细节•LA的每一条通道都会经过MagniVuTM采样器以50GS采样Step5:联合数字、模拟信号调试、解决问题TLA7000LogicAnalyzeriViewCableOscilloscope•iView允许L

6、A在触发的时候同时将外部示波器触发,而实现同步数据采集SeethescopesignalsbackontheLA!BNCCablesLogicAnalyzerProbesSUT•使用iView采集、测量数据,LA和示波器能够完成时间相关的多域联合调试,在LA上可以同时显示模拟和数字波形。简化Altera和XilinxFPGA系统调试FPGA调试挑战设计检验已经成为一个关键瓶颈–设计尺寸和复杂性提高–获得内部信号受限产品开发周期限制使调试时间缩短–调试时间可能会占设计周期的50%以上简单地查看外部

7、针脚是不够的在FPGA中增加调试电路会影响设计–占用宝贵的芯片空间–要求额外的时间–可能会影响设计的定时性能–接入通常使用芯片上稀缺的针脚–可能很难测试板卡上的多个信号15潜在问题功能定义错误–在FPGA上或系统级功能系统交互问题系统级定时问题–异步事件–实际环境交互,特别是快速交互–很难仿真定时违规IC之间的信号保真度–噪声,串扰,反射,负荷,EMI互连可靠性问题–焊接界面,连接器电源问题–瞬态信号和负荷变化–高功耗由于仿真不全面而没有发现的FPGA设计错误–太复杂,不能覆盖100%

8、代码–耗费时间太长,不能实现和运行16FPGA设计流程设计阶段的任务–设计输入–设计实现–仿真调试和检验阶段–验证设计–校正发现的任何漏洞调试和验证方法–仿真–在线检验17传统FPGA调试方法-“嵌入式”逻辑分析仪SignalTapII/ChipScopeILAFPGA厂商提供综合逻辑分析仪(ILA)内核–SignalTap®II(Altera)–ChipScope™ILA(Xilinx)在设计中插入逻辑分析仪功能–包含触发和存储资源–使用FP

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。