FPGA-verilog-数字系统设计考试题.doc

FPGA-verilog-数字系统设计考试题.doc

ID:53279042

大小:121.00 KB

页数:8页

时间:2020-04-02

FPGA-verilog-数字系统设计考试题.doc_第1页
FPGA-verilog-数字系统设计考试题.doc_第2页
FPGA-verilog-数字系统设计考试题.doc_第3页
FPGA-verilog-数字系统设计考试题.doc_第4页
FPGA-verilog-数字系统设计考试题.doc_第5页
资源描述:

《FPGA-verilog-数字系统设计考试题.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、山东理工大学《FPFA技术及应用(A)》试卷纸(A)卷2011-2012学年第二学期班级:姓名:学号:…………………………………装……………………………订…………………………线………….………………………………适用专业09电科1、2考核性质考试开卷命题教师考试时间100分钟题号一二三四五六七八九十十一总分得分评阅人复核人一、填空(30分)1、$display(“result=%b”,5’b01010

2、5’b11111)显示:2、$display(“result=%b”,!(4'b1110

3、

4、4'b1001))显示:3、若a=4'b1110,b=

5、4'b1001,则$display(“result=%b”,{a,b,a+b})显示:4、假设仿真开始时间为时刻0,画出以下描述的S信号波形图。initialbegin#2S=1;#5S=0;#3S=1;#4S=0;#2S=1;#5S=0;end波形图:5、写出仿真如下top_alu模块后屏幕上应显示的信息:_________________________________`timescale1ns/1nsmoduletop_alu;wire[7:0]out;reg[2:0]op;reg[7:0]d1,d2;initialbegind1=8’h

6、3e;d2=8’h52;op=3’b011;#10$display(“ouput=%d”,out);#10$stop;endalum(out,op,d1,d2);endmodule`defineplus3'd0`defineminus3'd1`defineband3'd2`definebor3'd3`defineunegate3'd4modulealu(out,opcode,a,b);output[7:0]out;input[2:0]opcode;input[7:0]a,b;reg[7:0]out;always@(opcodeoraorb)be

7、gincase(opcode)`plus:out=a+b;`minus:out=a-b;`band:out=a&b;`bor:out=a

8、b;`unegate:out=~a;default:out=8'hx;endcaseendendmodule共4页第1页山东理工大学《FPFA技术及应用(A)》试卷纸(A)卷2011-2012学年第二学期班级:姓名:学号:…………………………………装……………………………订…………………………线………….………………………………二、根据功能模块写出Verilog描述(35分)1、写出每个及连接在一起的逻辑功能

9、模块Verilog描述(忽略逻辑部分)。2、写出以下逻辑电路的门级结构Verilog描述和行为Verilog描述。3、编写二、2逻辑电路的测试模块。共4页第2页山东理工大学《FPFA技术及应用(A)》试卷纸(A)卷2011-2012学年第二学期班级:姓名:学号:…………………………………装……………………………订…………………………线………….………………………………三、根据要求设计逻辑电路(35分)1.设计检测串行序列的逻辑电路,要求当检测到110时输出高电平脉冲。画出状态图,写出verilog描述。xclkrstz2.设计一能进行4、8分频

10、的分频器,写出分频器和测试模块的Verilog描述。clkclk4clk8reset分频clkresetclk4clk8测试共4页第3页山东理工大学《FPFA技术及应用(A)》试卷纸(A)卷2011-2012学年第二学期班级:姓名:学号:…………………………………装……………………………订…………………………线………….………………………………3、设计3位二进制码(Binary)到格雷码(Gray)的编码器,写出Verilog描述,码表如下:二进制码(Binary)格雷码(Gray)0000000010010100110110101001101

11、01111110101111100共4页第4页答案一、填空(30分)1、result=111112、result=03、result=1110100101114、2534255、output=126 说明:每小题6分,共30分。二、(35分)1、modulem2(data,ena,out);inputena;input[7:0]data;outputout;.......endmodulemodulem1(data,ena,c);inputena;output[7:0]data;outputc;……endmodulemodulem(ena,ou

12、t);inputena;wire[7:0]data;wirec;outputout;m1m1_inst(data,ena,c);m2m2_inst(d

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。