EDA交通灯控制电路设计.doc

EDA交通灯控制电路设计.doc

ID:53278892

大小:185.50 KB

页数:10页

时间:2020-04-02

EDA交通灯控制电路设计.doc_第1页
EDA交通灯控制电路设计.doc_第2页
EDA交通灯控制电路设计.doc_第3页
EDA交通灯控制电路设计.doc_第4页
EDA交通灯控制电路设计.doc_第5页
资源描述:

《EDA交通灯控制电路设计.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、设计课题二:交通灯控制电路设计一、问题描述:交通灯的显示有很多方式,如十字路口、丁字路口等,而对于同一个路口又有很多不同的显示要求,比如十字路口,车辆如果只要东西和南北方向通行就很简单,而如果车子可以左右转弯的通行就比较复杂,本实验仅针对最简单的南北和东西直行的情况。二、功能要求:要完成本实验,首先必须了解交通路灯的燃灭规律。依人们的交通常规,“红灯停,绿灯行,黄灯提醒”。其交通灯的燃灭规律为:初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干

2、次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。系统要求实现对2组交通灯控制信号的模拟输出,其控制规律为如上所述,同时要完成等待时间模拟的输出,即红绿灯的持续时间倒数输出。系统时钟输入为一个1KHz的系统时钟。9目录一、交通灯控制电路设计的主要功能3二、课题的功能模块的划分4三、主要功能的实现41.1倒计时模块41.2交通灯控制转换模块5四、系统调试与仿真7五、总结与体会8六、附件8七、评分表119一、交通灯控制电路设

3、计的主要功能交通灯控制电路设计遵循“红灯停,绿灯行,黄灯提醒”原则。其初始态是两个路口的红灯全亮,之后,东西路口的绿灯亮,南北路口的红灯亮,东西方向通车,延时一段时间后,东西路口绿灯灭,黄灯开始闪烁。闪烁若干次后,东西路口红灯亮,而同时南北路口的绿灯亮,南北方向开始通车,延时一段时间后,南北路口的绿灯灭,黄灯开始闪烁。闪烁若干次后,再切换到东西路口方向,重复上述过程。系统要求实现对2组交通灯控制信号的模拟输出,其控制规律为如上所述,同时要完成等待时间模拟的输出,即红绿灯的持续时间倒数输出。系统时钟输入为一个1KHz的系统时钟。本实

4、验中主要应用了状态机以及减法器的设计原理。在状态连续变化的数字系统设计中,采用状态机的设计思想有利于提高设计效率,增加程序的可读性,减少错误的发生几率。同时,状态机的设计方法也是数字系统中一种最常用的设计方法。二、课题的功能模块的划分CLK倒计时模块交通灯控制转换模块9三、主要功能的实现1.1倒计时模块倒计时模块采用减法计数器设计,等到时钟的上升沿来到时,对计数器进行计数。其中减法计数器的代码如下:process(lock,clk)beginiflock='1'thencnt<=init;elseifclk'eventandclk

5、='1'thencnt<=cnt-1;ifcnt=0thenov<='1';endif;endif;time<=cnt;endif;endprocess;1.2交通灯控制转换模块在设计交通灯控制电路转换模块时,采用状态机来实行这个功能模块,其中状态机共有五个状态,如:两个路口的红灯全亮;东西路口的绿灯亮,而南北路口的红灯亮;在延时后,东西路口绿灯灭,黄灯开始闪烁;在闪烁若干次后,东西路口的红灯亮,同时南北路口的绿灯亮;在延时后,南北路口的绿灯灭,黄灯开始闪烁;在闪烁若干次后,在切换到东西路口方向。libraryieee;useie

6、ee.std_logic_1164.all;9useieee.std_logic_unsigned.all;entitytrafic_lightisport(clk,rst:instd_logic;----时钟信号,复位信号time:outintegerrange0to59;-----时间信号r1,r2,y1,y2,g1,g2:outstd_logic);endtrafic_light;architecturert1oftrafic_lightistypestateis(r1r2,r1g2,r1y2,r2g1,r2y1);sign

7、aln_state,c_state:state;signalcnt,init:integerrange0to49;signallock,ov:std_logic;beginstate_reg:process(clk,rst)beginifrst='1'thenc_state<=r1r2;elsifclk'eventandclk='1'thenc_state<=n_state;endif;endprocess;state_com:process(c_state,ov)---交通灯控制转换begincasec_stateiswhenr

8、1g2=>r1<='1';g2<='1';y1<='0';r2<='0';g2<='0';y2<='0';init<=9;lock<='1';n_state<=r1g12;whenr1g12=>r1<='1';g2<='1';y1<='0';r2<

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。