基于FPGA的正弦信号发生器设计.pdf

基于FPGA的正弦信号发生器设计.pdf

ID:52477705

大小:138.29 KB

页数:2页

时间:2020-03-28

基于FPGA的正弦信号发生器设计.pdf_第1页
基于FPGA的正弦信号发生器设计.pdf_第2页
资源描述:

《基于FPGA的正弦信号发生器设计.pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、都相继推出各种各样的高性能、多功能的DDS专用芯片,为电路设计者提供了多种选择,满足了工程实际的需要。但DDS专用芯片波形却是固定的,使用不灵活。而用FPGA设计DDS电路只需改变FPGA中的I的M数据,DDS就可以产生任意波形,而且FPGA芯片要比专用DDS专用芯片便宜很多倍。因此,采用FPGA来设计DDS系统具有很高的性价比。2.DDS基本原理直接数字频率合成(DDS)的工作原理是以数控振荡器的方式产生频率、相位可控制的正弦波。电路一般包括基准时钟、频率累加器、相位累加器、幅度/相位转换电路、D/A转换器和低通滤波器(

2、LPF)。相位累加器由N位加法器与N位累加寄存器级联构成。每来一个时钟脉冲fc,加法器将频率控制字M与累加寄存器输出的累加相位数据相加,把相加后的结果送至累加寄存器的数据输入端。累加寄存器将加法器在上一个时钟脉冲作用后所产生的新相位数据反馈到加法器的输入端,以使加法器在下一个时钟脉冲的作用下继续与频率控制字M相加。这样,相位累加器在时钟fc作用下,不断对频率控制字进行线性相位累加。由此可以看出,相位累加器在每一个时钟脉冲输入时,把频率控制字累加一次,相位累加器输出的数据就是合成信号的相位,相位累加器的溢出频率就是DDS输出

3、的信号频率。口用相位累加器输出的数据作为波形存储器(ROM)的相位取样地址,这样就町把存储在波形存储器内的波形抽样值(二进制编码)经查找表奄出,完成相位到幅值的转换,如图l中的正弦查询表。波形存储器的输出送到D/A转换器,D/A转换器将数字量形式的波形幅值转换成所要求合成频率的模拟量形式信号。低通滤波器用于滤除不需要的取样分量,以便输出频谱纯净的正弦波信号。DDS基本结构框图如图l所示。图1∞s基本结构框图设相位累加器的位宽为2”,Sin表的大小为2p(N>P),累加器的高P位用于寻址Sin表。时钟Clock的频率为e,若

4、累加器按步进为1累加直至溢出一遍的频率为:L2昔(1)以M点为步长,产生信号的频率为:’岛2昔‘M(2)输出频率最小频率即分辨率:△f=寺e(3)3.系统设计本系统丰要由单片机、FPGA、键盘、LED显示、D/A转换器、低通滤波器组成。通过键盘将频率字送入单片机,然后传给FPGA,并通过LED显示当前的频率,FPGA将接受到的数据处理之后经D/A转换器和低通滤波器将波形数据转换成所需要的模拟波形。系统总体框图如图2所示:圈2系统总体框图3.1FPGA设计要用FPGA芯片来设计DDS电路,就必须包括相位累加模块、移相累加模块

5、与波形数据存储器ROM等。我们将所需要合成的波形采样数据固化在ROM中。为了达到正弦波输出频率范围(1KHz.10MHz)及频率步进值100Hz,FPGA作者简介:李秋菊,女。四川达州人。学士,助教,研究方向:电路与设计。一57—时钟频率为fe=100MHz,由公式(1)可计算得,当N=20时能满足频率步进值为100I-Iz的要求。而要达到输出频率10MHz,由公式(2)可计算得M=104857,因此频率控制字位宽取17位,高三位添000,由于加法器为32位,则低12位添000000000000。3.2单片机设计单片机在本

6、系统中负责用户的交互和整个系统的控制。用户可以通过键盘输入1KHz-10MHz任意频率字,也可以通过步进键设置频率,同时LED能显示当前频率。单片机从键盘接收到用户输入的频率字,并在PO口的控制下通过P2口送给FPGA。由于键盘直接与单片机的Pl口连接,电路简单。因此这里不再作介绍。下面分别介绍FPGA与单片机通信设计和LED显示设计。3.2.I单片机与FPGA通信设计单片机与FPGA通信非常重要,它直接关系到频率字能否正常传送到FPGA。为了满足设计要求,通过前面的计算可知,单片机需要传送17位频率字给FPGA。由于单片

7、机YO接口数目的限制,频率字在Po口的控制下通过P2口分三次送给FPGA。3.2.2LED显示设计在单片机应用系统中,LED显示常用两种方法:静态显示和动态显示。动态显示就是一位~位地轮流点亮各位显示器(扫描),即每一位显示器每隔一段时间点亮一次。虽然在间一时刻只有一位显示器在工作(点亮),但利用人眼的视觉暂留效应和发光二极管熄灭时的余辉效应,看到的却是多个显示器“同时”显示字符。动态显示器的优点是节省硬件资源,成本较低。本系统有8位LED,由于静态显示所需的I/O口太多,硬件开销太大,故采用动态显示方式。动态显示电路如图

8、3所示。圈3动态显示电路3.2.3单片机软件设计单片机初始化后显示初始界面,然后等待键盘中断。用户可键入17位频率字后按下确认键,频率字在单片机P0口的控制下分三次送给FPGA处理,同时LED显示当前频率:也可按100Hz的步进键设置频率。软件流程图如图4所示:初她化囊辜誓存簟元嚣H,29I-I.2^H

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。