基于vhdl的dds信号发生器的综合电子系统设计报告

基于vhdl的dds信号发生器的综合电子系统设计报告

ID:5195948

大小:237.39 KB

页数:16页

时间:2017-12-05

基于vhdl的dds信号发生器的综合电子系统设计报告_第1页
基于vhdl的dds信号发生器的综合电子系统设计报告_第2页
基于vhdl的dds信号发生器的综合电子系统设计报告_第3页
基于vhdl的dds信号发生器的综合电子系统设计报告_第4页
基于vhdl的dds信号发生器的综合电子系统设计报告_第5页
资源描述:

《基于vhdl的dds信号发生器的综合电子系统设计报告》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、《综合电子系统设计》课程设计报告(实验一:基于FPGA的DDS信号发生器)姓名:徐久赟学号:041401012班级:0414103班一、实验要求1)目的:掌握FPGA设计流程和HDL;掌握DDS原理及其FPGA的实现方法。(2)实验设备:PC机、QuartusII软件、DE2开发板。(3)实验内容及说明:要求DDS输出的正弦波频率可变,频率变化范围5Hz-100kHz,频率步进值小于1Hz。二、设计原理DDS系统的核心是相位累加器,它由一个累加器和一个N位相位寄存器组成。每来一个时钟脉冲,相位寄存器以

2、步长M增加。相位寄存器的输出与相位控制字相加,其结果作为正弦查找表的地址。正弦查找表由ROM构成,内部存有一个完整周期正弦波的数字幅度信息,每个查找表的地址对应正弦波中0~360°范围内的一个相位点。查找表把输入的地址信息映射成正弦波的数字幅度信号,加到DAC的输入端,DAC输出的模拟信号经过低通滤波器(LPF),可得到一个频谱纯净的正弦波。三、方案论证及详细设计1.系统设计图1:系统框图整个系统由五个模块构成:(1)接口模块,如图2所示。图2:接口模块接口模块提供了方便的操作接口:reset:复位c

3、lk:系统时钟(50MHz)clk_en:时钟使能address:地址总线(控制数据总线向对应的寄存器输入数据)见表1address(2位)寄存器00div_reg(时钟分频数)01M_reg10phase_reg11ddsen_reg表1:地址总线表dataBus:数据总线(8位)说明:在接口模块中,内嵌了一个分频器,来控制输出的fclk。通过判断div_reg中的值,分频值从2——1000000,通过控制fclk进而提高Δfout,使Δfout<1Hz。(2)同步模块,见图3是图3:同步模块主要使

4、fclk,M,phase能同时输入给运算模块,避免出现竞争。(3)运算模块,见图4图4:运算模块主要由一个相位加法器和10位加法器构成,最终输出相位值。(2)sin幅度查询模块,见图5图5:sin幅度查询模块在devC++环境下,用C语言生成具有正弦函数关系的数据SIN_ROM.exe,再在DOS下执行:“SIN_ROM>SIN_ROM.mif”。再在文件中加入了头部说明。最后在Quartus中调用了LPM库,生成了sin幅度查询模块。2.系统的顶层逻辑图及DDS的频率精度与频率控制字之间的关系图6:

5、系统顶层逻辑图在DDS原理中,将一个正弦函数用其相位表示,即2pi。同时将其离散化,分成2^N个,M为频率控制字,即为每个fclk时钟,将初始相位与其相加,得到下一个相位,进而得到对应幅度值。由fout=M/2^N×fclk得,M值越大时,此时fout值越大。但是同时,由于M值越大,每次相位累加时,相位值改变的越大,导致幅度值改变的越大。最终生成的正弦函数失真现象越加严重,即频率精度越差。所以,频率精度与频率控制字成反比关系。一、系统调试及仿真波形图7:FPGA资源利用图8:接口模块仿真图图9:同步模

6、块仿真图图10:相位累加器仿真图图11:10位加法器一、系统实现功能输出了一个频率和相位可以调控的正弦波。频率变化范围:1Hz--5MHz,步进值<1Hz.二、设计调试过程中的问题及解决办法由于整个系统由模块化组成,每个模块在设计的过程中都通过波形仿真验证其功能的正确性,使得最终的顶层设计时,没有出现太大的问题。但在整个设计过程中出现了一些软件操作和系统设计的问题。1.系统编译后无法生成SOF文件。解决:经查阅资料后了解到,Quartus在没有破解的情况下,不生成SOF文件,最终按照教程破解了软件,成

7、功生成SOF文件。2.DE2开发板上的扩展IO口按键过少,不足以满足整个系统所需。解决:通过增加接口模块,同时增加address控制数据的输入。3.DE2中的DAC芯片为10bit,这样就使得输入的幅度值为10bit,进而使得N只能是10位,导致步进值和输出频率无法同时满足设计需要。解决:由于DE2的限制,N只能选择10位,所以在接口模块,设计了分频计,这样就可以通过改变fclk减小步进值,使其符合要求。一、收获、体会及改进设想1.通过这次的课程设计,重新学习了对Quartus软件的使用,熟练度得到了

8、极大的提高。2.复习了VHDL语言,对VHDL有了更多的认识,对其使用更加的得心应手。3.从整体系统的设计到底层各个模块的设计与完善,这种层次化,模块化设计,使得整个系统设计显得更加的科学,极大的降低了系统的出错率。同时对系统各个模块的把握,可以更好的调试与改善整个系统。4.这样的设计方式,也使得整个系统扩展性得到一定的提高。5.电子系统的设计应当是层次化,模块化,可调试性强,可扩展性高。优秀的设计思路可以极大的提高整个设计过程的效率。改进设想:1.频率

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。