实验四八位七段数码管动态显示电路的设计.doc

实验四八位七段数码管动态显示电路的设计.doc

ID:51935541

大小:1.78 MB

页数:4页

时间:2020-03-19

实验四八位七段数码管动态显示电路的设计.doc_第1页
实验四八位七段数码管动态显示电路的设计.doc_第2页
实验四八位七段数码管动态显示电路的设计.doc_第3页
实验四八位七段数码管动态显示电路的设计.doc_第4页
资源描述:

《实验四八位七段数码管动态显示电路的设计.doc》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、八位七段数码管动态显示电路的设计一、实验目的1、了解数码管的工作原理。2、学习七段数码管显示译码器的设计。3、学习VHDL的CASE语句及多层次设计方法。二、实验原理七段数码管是电子开发过程中常用的输出显示设备。在实验系统中使用的是两个四位一体、共阴极型七段数码管。其单个静态数码管如下图4-4-1所示。图4-1静态七段数码管由于七段数码管公共端连接到GND(共阴极型),当数码管的中的那一个段被输入高电平,则相应的这一段被点亮。反之则不亮。共阳极性的数码管与之相么。四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八个数码管的a、b、c

2、、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。三、实验内容本实验要求完成的任务是在时钟信号的作用下,通过输入的键值在数码管上显示相应的键值。在实验中时,数字时钟选择1024HZ作为扫描时钟,用四个拨动开关做为输入,当四个拨动开关置为一个二进制数时,在数码管上显示其十六进制的值。四、实验步骤1、打开QUARTUSII软件,新建一个工程。2、建完工程之后,再新建一个VHDLFile,打开VHDL编辑器对话框。3、按照实验原理和自己的想法,在VHDL编辑窗口编写VHDL程序,用户可参照光盘中提供的示例程序。4、

3、编写完VHDL程序后,保存起来。方法同实验一。1、对自己编写的VHDL程序进行编译并仿真,对程序的错误进行修改。2、编译仿真无误后,根据用户自己的要求进行管脚分配。分配完成后,再进行全编译一次,以使管脚分配生效。3、根据实验内容用实验导线将上面管脚分配的FPGA管脚与对应的模块连接起来。如果是调用的本书提供的VHDL代码,则实验连线如下:CLK:FPGA时钟信号,接数字时钟CLOCK3,并将这组时钟设为1024HZ。KEY[3..0]:数码管显示输入信号,分别接拨动开关的S4,S3,S2,S1。LEDAG[6..0]:数码管显示信号,接数码管的G、F、E、D、C、B、A

4、。SEL[2..0]:数码管的位选信号,接数码管的SEL2、SEL1、SEL0。4、用下载电缆通过JTAG口将对应的sof文件加载到FPGA中。观察实验结果是否与自己的编程思想一致。二、实验现象与结果以设计的参考示例为例,当设计文件加载到目标器件后,将数字信号源模块的时钟选择为1464HZ,拨动四位拨动开关,使其为一个数值,则八个数码管均显示拨动开关所表示的十六进制的值。六、源代码libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.al

5、l;entitysmgisport(clk:instd_logic;--定义动态扫描时钟信号k:instd_logic_vector(3downto0);--定义四位输入信号ledag:outstd_logic_vector(6downto0);--定义七位输出信号del:bufferstd_logic_vector(2downto0)--定义八位数码管位置显示信号);endsmg;architecturebehaofsmgisSignalkey:std_logic_vector(3downto0);beginprocess(clk)variabledount:std_

6、logic_vector(2downto0);beginifclk'eventandclk='1'then--检测时钟上升沿dount:=dount+1;--计数器dount累加endif;del<=dount;endprocess;process(del,k)begincase(del)iswhen"000"=>key<=k;--+"0000";when"001"=>key<=k;--+"0001";when"010"=>key<=k;--+"0010";when"011"=>key<=k;--+"0011";when"100"=>key<=k;--+"0100";w

7、hen"101"=>key<=k;--+"0101";when"110"=>key<=k;--+"0110";when"111"=>key<=k;--+"0111";endcase;endprocess;process(key)begincasekeyiswhen"0000"=>ledag<="0111111";when"0001"=>ledag<="0000110";when"0010"=>ledag<="1011011";when"0011"=>ledag<="1001111";when"0100"=>ledag<="1100110"

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。