VHDL实验 交通灯控制.doc

VHDL实验 交通灯控制.doc

ID:50743576

大小:1.77 MB

页数:11页

时间:2020-03-14

VHDL实验 交通灯控制.doc_第1页
VHDL实验 交通灯控制.doc_第2页
VHDL实验 交通灯控制.doc_第3页
VHDL实验 交通灯控制.doc_第4页
VHDL实验 交通灯控制.doc_第5页
资源描述:

《VHDL实验 交通灯控制.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、学生实验报告实验课名称:VHDL硬件描述语言实验项目名称:交通灯控制专业名称:电子科学与技术班级:电科二班学号:****学生姓名:****教师姓名:****__2010__年_11_月_11_日组别_____________________同组同学_______________________实验日期_____年___月___日实验室名称______________成绩_____一、实验名称:交通灯控制二、实验目的与要求:实验目的:通过对十字路口交通灯控制系统的设计,掌握不同进制计数归零的描述方法以及通过信号使进程进行相互通信的方法。实验要求:通过VHDL编程,

2、实现十字路口交通灯的控制,要求用四个数码管分两组显示两个方向的递减计数值,并通过6个LED分两组显示两个方向的三色灯信号,并有一个异步重置端口,具体接口如下所示:首先在QuartusII上进行功能和时序仿真,之后通过器件及其端口配置下载程序到SOPC开发平台中。在硬件实现中,要求:1.用实验平台的中的4个数码管和6个LED显示输出,采用I/O模式7实现重置控制和输出显示。D8、D7、D6分别显示h方向的红、黄、绿三色灯(开发平台的LED都是红色的,此时我们只能示意),D3、D2、D1分别显示v方向的红、黄、clk:时钟输入端。reset:异步重置端口,高电平有效

3、;count_hh[3..0]:h方向计数器的高位显示输出;(注:以下把十字路口的两个方向记作h方向和v方向)count_hl[3..0]:h方向计数器的低位显示输出;count_vh[3..0]:v方向计数器的高位显示输出;count_vl[3..0]:v方向计数器的低位显示输出;light_h[2..0]:h方向的三色灯输出信号端;light_v[2..0]:v方向的三色灯输出信号端;绿三色灯,数码管8和数码管7用来显示h方向的高位和低位计数值,数码管2和数码管1用来显示v方向的高位和低位计数值(模式7中的数码管输入信号被设置称为8421码)。重置按键(re

4、set)用按键1来实现。2.为了获得合适的时钟频率,采用I/O板上的时钟资源clock0,所以,必须把适配板上的SL2时钟跳线如下图连接:3.时钟信号采用实验平台的clock0时钟资源:可以通过跳线选择不同的时钟频率,clock0的时钟范围是0.5Hz~20MHz。此频率不能选择的太高否则计数速度太快,没有实用意义。一般选择在1Hz即可。具体时序要求:要求整个控制周期为100个时钟周期(100T),系统刚启动的时候h方向为绿灯(计数55),v方向为红灯(计数60),当重置按钮按下时,系统也要求恢复到此状态,具体时序如下表所示:起始1周期结束h方向55到0递减(绿

5、灯)5到0递减(黄灯)40到0递减(红灯)v方向60到0递减(红灯)35到0递减(绿灯)5到0递减(黄灯)三、实验内容:u新建工程:1、打开Quartus,新建工程,选择好路径,工程顶层名为traffic_control;2、新建工程,如果包含已编好的文件则可以添加,如果无则可以略过;3、选择我们的器件信息:型号为:EP2C36F484C8。4、单击Next>,指定第三方工具,这里我们不指定第三方EDA工具,单击Next>后结束工程建立。u建立编译VHDL文件:1、单击FileNew菜单项,选择弹出窗口中的VHDLFile项,单击OK按钮以建立打开空的VHDL

6、文件,并以工程顶层文件名保存。2、输入源代码完后单击ProcessingStartCompilation开始编译,并修改其中的错误直到没有为止。u建立矢量波形文件1、单击FileNew命令,选择其中的VectorWaveformFile项,点击OK建立空的波形文件,打开矢量波形文件编辑窗口;2、双击窗口左边空白区域,打开InsertNodeorBus对话框;3、单击NodeFinder…按钮,打开以下对话框,选择Filter下拉列表中的Pins:all,并点击List按酒以列出所有的端口,通过>>按钮把这些端口加入到右面的窗口中,单击OK完成端口的添加;4、

7、回到波形编辑窗口,对所有输入端口设置输入波形,具体可以通过左边的工具栏,或通过对信号单击鼠标右键的弹出式菜单中完成操作,最后保存次波形文件。u进行仿真:1、单击AssignmentsSettings,Simulationmode设置为Functional,即功能仿真。指定仿真波形文件后单击OK完成设置;2单击ProcessingGenerateFunctionalSimulationNetlist以获得功能仿真网络表;3单击ProcessingStartSimulation完成功能仿真。4如果功能仿真无误后进行时序仿真:单击AssignmentsSett

8、ings,在弹出对话框中

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。