EDA技术及应用 第二版 教学课件 作者 谭会生 第5-7章第7章 EDA技术实验.ppt

EDA技术及应用 第二版 教学课件 作者 谭会生 第5-7章第7章 EDA技术实验.ppt

ID:50354712

大小:678.50 KB

页数:50页

时间:2020-03-08

EDA技术及应用 第二版 教学课件 作者 谭会生 第5-7章第7章 EDA技术实验.ppt_第1页
EDA技术及应用 第二版 教学课件 作者 谭会生 第5-7章第7章 EDA技术实验.ppt_第2页
EDA技术及应用 第二版 教学课件 作者 谭会生 第5-7章第7章 EDA技术实验.ppt_第3页
EDA技术及应用 第二版 教学课件 作者 谭会生 第5-7章第7章 EDA技术实验.ppt_第4页
EDA技术及应用 第二版 教学课件 作者 谭会生 第5-7章第7章 EDA技术实验.ppt_第5页
资源描述:

《EDA技术及应用 第二版 教学课件 作者 谭会生 第5-7章第7章 EDA技术实验.ppt》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第7章EDA技术实验7.1实验一:8位加法器的设计7.2实验二:序列检测器的设计7.3实验三:正负脉宽数控调制信号发生器的设计7.4实验四:数字频率计的设计7.5实验五:数字秒表的设计7.6实验六:交通灯信号控制器的设计7.7实验报告范例7.1实验一:8位加法器的设计1.实验目的(1)学习ispEXPERT/MAX+plusII/FoudationSeries软件的基本使用方法。(2)学习GW48-CKEDA实验开发系统的基本使用方法。(3)了解VHDL程序的基本结构。2.实验内容设计并调试好一个由两个4位二进制并行加法器级联而成的8位二进制并行加法器,

2、并用GW48-CKEDA实验开发系统(拟采用的实验芯片的型号可为ispLSI1032EPLCC-84或EPF10K10LC84-3或XCS05/XLPLCC84)进行硬件验证。3.实验要求(1)画出系统的原理框图,说明系统中各主要组成部分的功能。(2)编写各个VHDL源程序。(3)根据选用的软件编好用于系统仿真的测试文件。(4)根据选用的软件及EDA实验开发装置编好用于硬件验证的管脚锁定文件。(5)记录系统仿真、硬件验证结果。(6)记录实验过程中出现的问题及解决办法。4.参考资料教材第4.1节、第4.2节、第4.3节、第5.4节、第6.1节。7.2实验二

3、:序列检测器的设计1.实验目的(1)熟悉ispEXPERT/MAX+plusⅡ/FoudationSeries软件的基本使用方法。(2)掌握GW48-CKEDA实验开发系统的基本使用方法。(3)学习VHDL程序中数据对象、数据类型、顺序语句、并行语句的综合使用。2.实验内容序列检测器可用于检测一组或多组由二进制码组成的脉冲序列信号,这在数字通信领域有广泛的应用。今要求设计一个8位的序列检测器,在检测过程中,任何一位不相等都将回到初始状态重新开始检测;当一串待检测的串行数据进入检测器后,若此数在每一位的连续检测中都与预置的密码数相同,则输出“A”,否则仍然

4、输出“B”。用GW48-CKEDA实验开发系统(拟采用的实验芯片的型号可为ispLSI1032EPLCC-84或EPF10K10LC84-3或XCS05/XLPLCC84)进行硬件验证。3.实验要求(1)画出系统的原理框图,说明系统中各主要组成部分的功能。(2)编写各个VHDL源程序。(3)根据选用的软件编好用于系统仿真的测试文件。(4)根据选用的软件及EDA实验开发装置编好用于硬件验证的管脚锁定文件。(5)记录系统仿真、硬件验证结果。(6)记录实验过程中出现的问题及解决办法。4.参考资料教材第4.1节、第4.2节、第4.3节、第5.4节、第3.9节、第

5、6.3节。7.3实验三:正负脉宽数控调制信号 发生器的设计1.实验目的(1)熟悉ispEXPERT/MAX+plusⅡ/FoudationSeries软件的基本使用方法。(2)熟悉GW48-CKEDA实验开发系统的基本使用方法。(3)学习VHDL程序中数据对象、数据类型、顺序语句、并行语句的综合使用。2.实验内容设计并调试好一个脉宽数控调制信号发生器,此信号发生器是由两个完全相同的可自加载加法计数器LCNT8组成的,它的输出信号的高/低电平脉宽可分别由两组8位预置数进行控制。用GW48-CKEDA实验开发系统(拟采用的实验芯片的型号可为ispLSI103

6、2EPLCC-84或EPF10K10LC84-3或XCS05/XLPLCC84)进行硬件验证。3.实验要求(1)画出系统的原理框图,说明系统中各主要组成部分的功能。(2)编写各个VHDL源程序。(3)根据选用的软件编好用于系统仿真的测试文件。(4)根据选用的软件及EDA实验开发装置编好用于硬件验证的管脚锁定文件。(5)记录系统仿真、硬件验证结果。(6)记录实验过程中出现的问题及解决办法。4.参考资料教材第4.1节、第4.2节、第4.3节、第5.4节、第6.4节。7.4实验四:数字频率计的设计1.实验目的(1)熟悉ispEXPERT/MAX+plusⅡ/F

7、oudationSeries软件的基本使用方法。(2)熟悉GW48-CKEDA实验开发系统的基本使用方法。(3)学习VHDL基本逻辑电路的综合设计应用。2.实验内容设计并调试好8位十进制数字频率计,并用GW48-CKEDA实验开发系统(拟采用的实验芯片的型号可为ispLSI1032EPLCC-84或EPF10K10LC84-3或XCS05/XLPLCC84)进行硬件验证。3.实验要求(1)画出系统的原理框图,说明系统中各主要组成部分的功能。(2)编写各个VHDL源程序。(3)根据选用的软件编好用于系统仿真的测试文件。(4)根据选用的软件及EDA实验开发装

8、置编好用于硬件验证的管脚锁定文件。(5)记录系统仿真、硬件验证结果。(6)记录实

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。