eda流水灯实验报告.doc

eda流水灯实验报告.doc

ID:49924777

大小:309.00 KB

页数:6页

时间:2020-03-05

eda流水灯实验报告.doc_第1页
eda流水灯实验报告.doc_第2页
eda流水灯实验报告.doc_第3页
eda流水灯实验报告.doc_第4页
eda流水灯实验报告.doc_第5页
资源描述:

《eda流水灯实验报告.doc》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、1.实验目的1.通过此实验让用户进一步了解、熟悉和掌握CPLD/FPGA开发软件的使用方法及VHDL的编程方法,熟悉以HerilongHDL文件为顶层模块的设计。 2.学习和体会分支条件语句casc的使用方法及FPGAI/O口的输出控制。2.实验内容SmartSOPC实验箱上有8个按键KEY1~KEY8和8个发光二极管LED1~LED8。在SmartSOPC实验箱上KEY1~KEY8通过跳线JP6的LED1~LED8分别于芯片的121~124、143、141、158、和156引脚相连。 本实验的内容是要求在SmartSOPC实验

2、箱上完成对8个键盘KEY1~KEY8进行监控,一旦有键输入判断其键值,并点亮相应的发光二极管,如若KEY3按下,则点亮LED1~LED3发光管并且在放开时也能保持亮的状态。3.实验原理FPGA的所有I/O控制块允许每个I/O引脚单独置为输入口,不过这种配置是系统自动完成的,一旦该I/O口被设置为输入口使用时,该I/O控制模块将直接使三态缓冲区的控制端接地,使得该I/O引脚对外呈高阻态,这样改I/O引脚即可用作专用输入引脚。只要正确分配并锁定引脚后,一旦在KEY1~KEY8中有键输入,在检测到键盘输入的情况下,继续判断其键盘值并作

3、出相应的处理。 最后拿出跳线短接帽跳接到JP6的LED1~LED7、KEY1~KEY8使LED1~LED8和KEY1~KEY8和芯片对应的引脚相连。拿出AlteraByteBlasterⅡ下载电缆,并将此电缆的两端分别接到PC机的打印机并口和QuickSOPC核芯板上的JTAG下载口上,打开电源,执行下载命令,把程序下载到FPGA器件中,按下KEY1~KEY8的任意键,观察LED1~LED8的状态。4.实验步骤1.启动QuartusⅡ建立一个空白工程,然后命名为keyboard。2.源程序文件命名为keyboard,并选择器件为

4、Altera公司Cyclone系列的EPIC12Q240C8芯片,如图1—1图1—13.新建VeriogHDL源程序文件,输入程序代码并保存。再进行综合编译,若发现编译过程中有错误1—2(1),则找出并更正错误,直到编译成功为止。如图1—2(2)就表示编译成功了(warings可以不考虑)。图1—2(1)图1—2(2)4.对引脚进行锁定。通过选择[Assignments]下的[pins]。分配好管脚如图1—3所示。图1—35.将未使用的管脚设置为三输入。以防止损坏芯片如图1—4所示。图1—46.最后拿出跳线短接帽跳接到JP6的L

5、ED1~LED7、KEY1~KEY8使LED1~LED8和KEY1~KEY8和芯片对应的引脚相连。拿出AlteraByteBlasterⅡ下载电缆,并将此电缆的两端分别接到PC机的打印机并口和QuickSOPC核芯板上的JTAG下载口上,打开电源,执行下载命令,把程序下载到FPGA器件中(如图1-5),按下KEY1~KEY8的任意键,观察LED1~LED8的状态。图1—5在点[Start]即可下载烧录了。5.实验程序libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_

6、ARITH.ALL;useIEEE.STD_LOGIC_UNSIGNED.ALL;entitykeyboardisport(key_8bit:INstd_logic_vector(7downto0);led_8bit:OUTstd_logic_vector(7downto0));endkeyboard;architecturekeyboardofkeyboardissignaltmp:std_logic_vector(7downto0);beginprocess(key_8bit)begincasekey_8bitiswhen"

7、11111110"=>tmp<="11111110";when"11111101"=>tmp<="11111101";when"11111011"=>tmp<="11111011";when"11110111"=>tmp<="11110111";when"11101111"=>tmp<="11101111";when"11011111"=>tmp<="11011111";when"10111111"=>tmp<="10111111";when"01111111"=>tmp<="01111111";whenothers=>tmp<

8、="ZZZZZZZZ";endcase;endprocess;led_8bit<=tmp;endkeyboard;6.实验结果展示依次按下单个按键的效果7.总结与思考(详见手写附页)

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。