Ch9_有限状态机数据通道设计.pptx

Ch9_有限状态机数据通道设计.pptx

ID:48769277

大小:1.32 MB

页数:128页

时间:2020-01-22

Ch9_有限状态机数据通道设计.pptx_第1页
Ch9_有限状态机数据通道设计.pptx_第2页
Ch9_有限状态机数据通道设计.pptx_第3页
Ch9_有限状态机数据通道设计.pptx_第4页
Ch9_有限状态机数据通道设计.pptx_第5页
资源描述:

《Ch9_有限状态机数据通道设计.pptx》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、硬件描述语言HardwareDescriptionLanguage哈尔滨理工大学HarbinUniversityofScienceandTechnology数据通道设计FniteStateMachineWithDatapathDesign主要内容引言39.1数据通道概述39.2FSMD设计原理39.3FSMD设计方法和步骤39.4流水线设计39.5FSMD设计实例39.6本章小结39.7重点和难点重点寄存器传输级数据通道设计原理和方法寄存器传输级操作原理ASMD图FSMD的VerilogHDL描述代码风格:2段式难点在条件判断框中使用寄存器寄存器传输级数据通道设计方法学习

2、目标掌握有限状态机+数据通道数字系统的结构;掌握寄存器传输级FSMD的原理和方法;熟悉寄存器传输级FSMDVerilogHDL描述代码风格;主要内容引言39.1数据通道概述39.2FSMD设计原理39.3FSMD设计方法和步骤39.4流水线设计39.5FSMD设计实例39.6本章小结39.7从应用的角度讲,数字系统可以分为两类:控制主导(control-dominated)有限状态机数据处理主导(data-dominated)有限状态机数据通道有限状态机米利状态机和摩尔状态机状态装换图和算法状态机图有限状态机数据通道(FiniteStateMachine+Datapath

3、)9.1引言有限状态机+数据通道数字系统结构注意:对于简单的数字系统,也可以划分有限状态机+数据通道结构,或者说,数据通道+有限状态机结构是更为一般的数字系统结构。但是对于功能比较简单的数字系统,一般无需这样划分,直接采用第6和7章介绍的方法设计即可。算法(Algorithm)复杂的数据处理问题,需要设计合理的算法;为了完成某个任务或者解决某个问题而采取的一系列详细步骤和操作称为算法(Algorithm)。传统上,算法采用传统的编程语言实现(C语言),其程序经过编译运行于通用计算机。为了获得更好性能和效率,有时需要自行设计硬件电路实现某些复杂的数据处理任务。寄存器传输级设

4、计是数字系统设计中最为常用的方法,寄存器传输级设计需要描述数据在寄存器之间的传输和处理过程。这种设计方法支持顺序执行的算法的描述。9.1引言有限状态机+数据通道有限状态机作为系统的控制单元,控制算法流程数据通道包含执行算术或者逻辑运算的元件和寄存器,比如加法器、乘法器,执行数据处理功能。数据通道结构决定整个数字系统的性能,因此数据通道的设计至关重要。9.1引言主要内容引言39.1数据通道概述39.2FSMD设计原理39.3FSMD设计方法和步骤39.4流水线设计39.5FSMD设计实例39.6本章小结39.79.2数据通道设计概述算法39.2.1数据流模型的结构描述39.

5、2.2寄存器传输级设计39.2.3算法为了完成某个任务或者解决某个问题而采取的一系列详细步骤和操作称为算法(Algorithm)。传统编程语言(比如C语言)的语句顺序执行,适于实现各类顺序执行的算法。传统编程语言程序会被编译成机器指令,然后再通用计算机上运行。9.2.1算法算法使用变量顺序执行Example:求数组中的连续4个元素的和,该和除以8后向最接近该整数的值取整;首先将4个元素相加并将结果存在变量sum中,然后分别求出sum与8做除法的商和余数。如果余数大于3,将商加1作为最后的结果size=4;sum=0;//line2for(i=0;i<=size-1;i++

6、)sum=sum+a[i];q=sum/8;r=sum%8;if(r>3)q=q+1;outp=q;9.2.1算法算法的两个基本特征:使用变量、顺序执行算法中的变量与通用计算机中存储器的某个位置对应,其地址用该变量名称表示。变量用于存储中间计算结果。顺序执行算法顺序执行,所以程序中的语句顺序非常重要。例如,在除法操作执行之前,必须完成4个元素的求和。注意:语句的执行顺序可能会依赖某些条件,比如for循环或者if语句。9.2.1算法数据流模型的结构描述为了获得更高性能和效率,往往需要采用硬件实现某些算法。硬件描述语言本身用于描述并行执行的硬件,与变量和顺序执行的算法有很大不

7、同。到目前为止,已经介绍了如何将“顺序执行的算法”转换成“结构级描述的数据流模型”,所谓“结构级描述的数据流模型”就是采用硬件模块的级联,实现顺序执行的算法,将算法中需要执行的操作与硬件模块一一对应,并按照执行顺序级联到一起。对应前面的算法,可以将循环打开,将变量映射成内部连接线。假设sum是8位宽的信号。9.2.2数据流模型的结构描述对应的VerilogHDL代码如下:assignsum=0;assignsum0=a[0];assignsum1=sum0+a[1];assignsum2=sum1+a[2];assignsum

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。