EDA技术及应用_第5章_1.ppt

EDA技术及应用_第5章_1.ppt

ID:48183471

大小:388.50 KB

页数:21页

时间:2020-01-18

EDA技术及应用_第5章_1.ppt_第1页
EDA技术及应用_第5章_1.ppt_第2页
EDA技术及应用_第5章_1.ppt_第3页
EDA技术及应用_第5章_1.ppt_第4页
EDA技术及应用_第5章_1.ppt_第5页
资源描述:

《EDA技术及应用_第5章_1.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、1第5章VDHL程序设计介绍2硬件描述语言(HDL)是用来描述硬件电路的功能、信号连接关系及时序关系的语言,也是一种用形式化方法来描述数字电路和设计数字系统的语言。常用的硬件描述语言有ABEL、AHDL、VHDL、VerilogHDL、System-Verilog和SystemC等等。VHDL----VeryhighspeedintegratedcircuitHardwareDescriptionLanguage超高速集成电路(VHSIC)硬件描述语言美国国防部在80年代初研究VHSIC计划时组织开发的,并成功用于军方的设计项目.2.

2、IEEE标准:IEEEStd1076-1987(calledVHDL1987)IEEEStd1076-1993(calledVHDL1993)由于当时工业界的迫切需要,IEEE标准化委员会于1987年将其确定为标准硬件描述语言,1993年,又对此标准作了进一步修定.3(1)行为描述能力强描述简单、方便(2)丰富的仿真语句和函数库可在高层次上进行仿真模拟(3)支持大规模设计的分解和已有设计的再利用功能实体、程序包、设计库等是并行工作和设计分解的基础(4)EDA工具支持它的综合、仿真和优化系统级、算法级、RTL级、逻辑级、开关级等(5)对

3、设计描述具有相对独立性与具体的工艺技术和硬件结构无关(6)良好的可扩展性可轻易改变设计的规模和结构3.VHDL语言特点4VHDL:行为级描述描述层次高,适宜电路高级建模,设计工作量小综合过程:行为级->RTL级->门电路级Verilog-HDL:RTL级描述描述层次低,适宜描述门级电路,设计工作量大综合过程:RTL级->门电路级ABEL-HDL:RTL级描述描述层次和综合过程同Verilog多用在小规模的PLD设计中,如GAL等在全定制集成电路设计中不如VHDL、Verilog-HDL使用普遍4.常用的三种硬件描述语言的比较5配置结构

4、体库、程序包实体5.1VHDL程序的基本结构6libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycounter10isport(en,reset,clk:instd_logic;q:bufferstd_logic_vector(3downto0);co:outstd_logic);endcounter10;architecturebehavofcounter10isbeginprocess(clk,reset,en)beginifre

5、set='1'thenq<="0000";elsifclk'eventandclk='1'thenifen='1'thenifq<"1001"thenq<=q+1;elseq<="0000";endif;endif;endif;endprocess;co<='1'whenq="1001"else'0';endbehav;7在VHDL设计中,实体是其最基本的结构。实体类似于原理图中的一个部件符号,并不描述设计的具体功能,只定义了该设计所需的全部输入/输出信号。对系统进行分层设计时,各层的设计模块都可作为实体。顶层的系统模块是顶级实体,低

6、层次的设计模块是低级实体。描述时,高级实体可将低一级实体当作元件来调用。5.1.1实体(Entity)8absymux21a图5.1.12选1数据选择器例:2选1数据选择器的实体描述【程序5.1.1】ENTITYmux21aISPORT(a,b:INBIT;s:INBIT;y:OUTBIT);ENDENTITYmux21a;实体说明的格式:[参数说明部分];ENTITY<实体名>IS[端口说明部分];END[ENTITY]<实体名>;实体说明以“ENTITY<实体名>IS”开始,至END[ENTITY]<实体名>”结束。9其中:ENT

7、ITYmux21aISPORT(a,b:INBIT;s:INBIT;y:OUTBIT);ENDENTITYmux21a;(2)端口模式:说明信号的方向,有以下几种模式:IN输入信号;OUT输出信号;INOUT双向信号;BUFFER输出信号,但可在内部反馈使用(缓冲);BUFFER是INOUT的子集,但作输入用时,信号不是由外部驱动,而是从输出反馈得到。参数说明主要为设计实体指定参数,如端口宽度等;端口说明描述的是设计实体和外部的接口,具体说就是对端口名称、端口模式和端口数据类型进行说明。(1)端口名称:输入输出信号的名称,在实体中必须

8、是唯一的。10(3)端口数据类型:端口信号的数据类型明确地指定和严格地定义端口信号的数据类型是VHDL的重要特点,即所谓的强数据类型,这是学习VHDL应特别注意之处ENTITYmux21aISPORT(a,b:INBIT

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。