基于FPGA的PS2鼠标接口设计方法及其应用.pdf

基于FPGA的PS2鼠标接口设计方法及其应用.pdf

ID:48000723

大小:326.22 KB

页数:14页

时间:2020-01-11

基于FPGA的PS2鼠标接口设计方法及其应用.pdf_第1页
基于FPGA的PS2鼠标接口设计方法及其应用.pdf_第2页
基于FPGA的PS2鼠标接口设计方法及其应用.pdf_第3页
基于FPGA的PS2鼠标接口设计方法及其应用.pdf_第4页
基于FPGA的PS2鼠标接口设计方法及其应用.pdf_第5页
资源描述:

《基于FPGA的PS2鼠标接口设计方法及其应用.pdf》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、基于FPGA的PS2鼠标接口设计方法及其应用王小明2007.4.10电话:13510618289邮箱:wang.x_m@163.com【摘要】利用现场可编程逻辑器件FPGA接收处理PS/2接口鼠标输入信息,并用VGA作为输出设备,显示当前鼠标状态及位置。【关键词】现场可编程逻辑器件,FPGA,PS/2,状态机。1.引言当前嵌入式系统技术已得到了广泛应用,但传统嵌入式系统的人机接口多采用小键盘操作的文本菜单方式,用户操作较为不便。本设计利用FPGA实现对PS/2接口鼠标的控制,并在以VGA作为输出设备的单片机系统上初步实现图形化用户界面的方案。具有成本低、效果好等特点

2、,具有很强的实用性。FPGA现场可编程门阵列(FieldProgrammableGateArray)是20世纪80年代中期出现的高密度可编程逻辑器件。FPGA及其软件系统是开发数字电路的最新技术。他利用EDA技术,以电路原理图、硬件描述语言、状态机等形式输入设计逻辑;他提供功能模拟、时序仿真等模拟手段,在功能模拟和时序仿真度满足要求后,经过一系列的变换,将输入逻辑转换成FPGA器件的编程文件,以实现专用集成电路。本设计选用Altera公司推出的CycloneII系列的EP2C5T144C8现场可编程门陈列来设计PS/2接口,体积减小,可靠性提高。2.PS/2接口和协

3、议2.1.接口的物理特性PS/2接口用于许多现代的鼠标和键盘,由IBM最初开发和使用。物理上的PS/2接口有两种类型的连接器:5脚的DIN和6脚的MINI-DIN。图1就是两种连接器的引脚定义。使用中,主机提供+5V电源给鼠标,鼠标的地连接到主机电源地上。图1PS/2接口连接器引脚定义2.2.接口协议原理PS/2鼠标接口采用一种双向同步串行协议。即每在时钟线上发一个脉冲,就在数据线上发送一位数据。在相互传输中,主机拥有总线控制权,即它可以在任何时候抑制鼠标的发送。方法是把时钟线一直拉低,鼠标就不能产生时钟信号和发送数据。在两个方向的传输中,时钟信号都是由鼠标产生,即

4、主机不产生通信时钟信号。如果主机要发送数据,它必须控制鼠标产生时钟信号。方法如下:主机首先下拉时钟线至少100μs抑制通信,然后再下拉数据线,最后释放时钟线。通过这一时序控制鼠标产生时钟信号。当鼠标检测到这个时序状态,会在10ms内产生时钟信号。如图3中(A)时序段。主机和鼠标之间,传输数据帧的时序如图2、图3所示。图2鼠标到主机传输时序图3主机到鼠标的传输时序2.3.PS/2鼠标的工作模式和协议数据包格式2.3.1.PS/2鼠标的四种工作模式PS/2鼠标的四种工作模式是:Reset模式,当鼠标上电或主机发复位命令(0xFF)给它时进入这种模式;Stream模式 鼠

5、标的默认模式,当鼠标上电或复位完成后,自动进入此模式,鼠标基本上以此模式工作;Remote模式,只有在主机发送了模式设置命令(0xF0)后,鼠标才进入这种模式;Wrap模式,这种模式只用于测试鼠标与主机连接是否正确。2.3.2.数据包结构PS/2鼠标在工作过程中,会及时把它的状态数据发送给主机。发送的数据包格式如表1所示。Byte1中的Bit0、Bit1、Bit2分别表示左、右、中键的状态,状态值0表示释放 1表示按下。Byte2和Byte3分别表示X轴和Y轴方向的移动计量值,是二进制补码值。Byte4的低四位表示滚轮的移动计量值,也是二进制补码值,高四位作为扩展符

6、号位。这种数据包由带滚轮的三键三维鼠标产生。若是不带滚轮的三键鼠标,产生的数据包没有Byte4其余的相同。3.VGA信号时序图4所示是计算机VGA(640×480,60Hz)图像格式的信号时序图,其点时钟DCLK为25.175MHz,场频为59.94Hz。图中,Vsync为场同步信号,场周期Tvsync为16.683ms,每场有525行,其中480行为有效显示行,45行为场消隐期。场同步信号Vs每场有一个脉冲,该脉冲的低电平宽度twv为63μs(2行)。场消隐期包括场同步时间twv、场消隐前肩tHV(13行)、场消隐后肩tVH(30行),共45行。行周期THSYNC

7、为31.78μs,每显示行包括800点,其中640点为有效显示,160点为行消隐期(非显示区)。行同步信号Hs每行有一个脉冲,该脉冲的低电平宽度tWH为3.81μs(即96个DCLK);行消隐期包括行同步时间tWH,行消隐前肩tHC(19个DCLK)和行消隐后肩tCH(45个DCLK),共160个点时钟。复合消隐信号是行消隐信号和场消隐信号的逻辑与,在有效显示期复合消隐信号为高电平,在非显示区域它是低电平。图4VGA显示驱动时序4.设计实现4.1.实现功能1、用FPGA实现PS/2鼠标接口。2、鼠标左键按下时十字形鼠标图象的中间方块改变颜色,右按下时箭头改变颜色

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。