基于某(VHDL)地8位二进制乘法电路程序

基于某(VHDL)地8位二进制乘法电路程序

ID:47617344

大小:214.61 KB

页数:11页

时间:2019-10-10

基于某(VHDL)地8位二进制乘法电路程序_第1页
基于某(VHDL)地8位二进制乘法电路程序_第2页
基于某(VHDL)地8位二进制乘法电路程序_第3页
基于某(VHDL)地8位二进制乘法电路程序_第4页
基于某(VHDL)地8位二进制乘法电路程序_第5页
资源描述:

《基于某(VHDL)地8位二进制乘法电路程序》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实用标准8位二进制乘法电路该乘法器是有由8位加法器构成的以时序方式设计的8位乘法器,采用逐项移位相加的方法来实现相乘。用乘数的各位数码,从低位开始依次与被乘数相乘,每相乘一次得到的积称为部分积,将第一次(由乘数最低位与被乘数相乘)得到的部分积右移一位并与第二次得到的部分积相加,将加得的和右移一位再与第三次得到的部分积相加,再将相加的结果右移一位与第四次得到的部分积相加。直到所有的部分积都被加过一次。例如:被乘数(M7M6M5M4M3M2M1M0)和乘数(N7N6N5N4N3N2N1N0)分别为11010101和1001

2、0011,其计算过程如下:下面分解8位乘法器的层次结构,分为以下4个模块:①右移寄存器模块:这是一个8位右移寄存器,可将乘法运算中的被乘数加载于其中,同时进行乘法运算的移位操作。②加法器模块:这是一个8位加法器,进行操作数的加法运算。③1位乘法器模块:完成8位与1位的乘法运算。④锁存器模块:这是一个16位锁存器,同时也是一个右移寄存器,在时钟信号的控制下完成输入数值的锁存与移位。按照上述算法,可以得到下图所示之框图和简单流程图。图中8位移位寄存器reg_8存放乘数a,从a的最低位开始,每次从reg_8中移出一位,送至1

3、×文档大全实用标准8位乘法器multi_1中,同时将被乘数加至multi_1中,进行乘法运算,运算的结果再送至8位加法器adder_8中,同时取出16位移位寄存器reg_16的高8位与之进行相加,相加后结果即部分积存入reg_16中,进行移位后并保存。这样经过8次对乘数a的移位操作,所以的部分积已全加至reg_16中,此时锁存器reg_16存放的值即所要求的积。(A)电路框图输出结果16位移位寄存器reg_168位加法器adder_8时钟、清零、移位控制信号,控制移位、清零或锁存被乘数b1×8位乘法器multi_18位

4、移位寄存器reg_8乘数a(B)简单流程图开始开始信号到来,置newstart为1寄存器reg_16置0时钟上升沿到来, 寄存器reg_8置乘数a时钟下降沿,置newstart为零reg_8移出1位后与被乘数放入multi_1中进行乘法运算,结果送至adde_8reg_16取出高8位送adder_8中,与multi_1得到结果进行加法运算,结果送至reg_16右移后并进行锁存输出每一步的运算结果,即输出reg_16的值,其中第八个值即为求得的积结束文档大全实用标准(1)8位移位寄存器reg_8的设计8位移位寄存器是在时

5、钟(r8_clk'eventandr8_clk='1')信号作用下,当r8_load='1'时,将8位乘数加载进入;而当r8_load='0'时,对数据进行移位操作,同时定义一个信号reg8用来装载新数据及移位后的操作数,完成这些操作后,寄存器的最低位reg8(0)传送给r8_out输出。r8_clkr8_loadr8_outr8_in[7...0]元件实体原理图如右图:该模块元件的程序如下:reg_8libraryieee;useieee.std_logic_1164.all;useieee.std_logic_un

6、signed.all;useieee.std_logic_arith.all;entityreg_8is--实体描述port(r8_clk,r8_load:instd_logic;r8_in:instd_logic_vector(7downto0);r8_out:outstd_logic);endreg_8;architecturearc_reg_8ofreg_8is--结构体描述signalreg8:std_logic_vector(7downto0);--定义信号变量beginprocess(r8_clk,r8_l

7、oad)beginifr8_clk'eventandr8_clk='1'then--时钟上升沿到来ifr8_load='1'then--锁存新数据reg8<=r8_in;elsereg8(6downto0)<=reg8(7downto1);--数据右移endif;endif;endprocess;r8_out<=reg8(0);--输出最低位endarc_reg_8;仿真波形图如下:文档大全实用标准(2)8位加法器adder_8的设计I)该加法器由两个四位二进制加法器组成。其中设计四位二进制加法器时,为了避免加法运算时

8、产生溢出,故定义了三个信号量ss,aa,bb,将加数a4_a,a4_b分别与0连接后赋值给aa,bb,形成5位二进制数,然后aa,bb与进位位a4_in相加赋值给ss,最后将ss的低四位赋值给和a4_s,同时将ss的最高位送给a4_out输出。元件实体原理图如右图:a4_ina4_s[3...0]a4_a[3...0]a4_b[3

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。