VHDL自动售邮票机的代码

VHDL自动售邮票机的代码

ID:47433711

大小:169.00 KB

页数:4页

时间:2020-01-11

VHDL自动售邮票机的代码_第1页
VHDL自动售邮票机的代码_第2页
VHDL自动售邮票机的代码_第3页
VHDL自动售邮票机的代码_第4页
资源描述:

《VHDL自动售邮票机的代码》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、自动售邮票机代码:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;useieee.std_logic_unsigned.all;entityxrg4isport(clk,clk1:inbit;coin1,coin5,coin10:instd_logic;--投入1、5、10角硬币reset,reset1:instd_logic;--清零信号,1有效six,eight:instd_logic;--6,8角的邮票light:out

2、std_logic;--购买成功指示灯retlight:outstd_logic_vector(3downto0));--找回的硬币endentityxrg4;architecturexxrrofxrg4istypestateis(s0,s1,s2);--状态机signaln_state:state;--次态signalc_state:state;--现态signaltouru:std_logic_vector(3downto0);--投入硬币面值signaltincoint:std_logic_vector(

3、4downto0);--计算出硬币总值(进程中)signalstamp:std_logic_vector(3downto0);--邮票面值signalret:std_logic_vector(3downto0);--找零值signalzong:std_logic_vector(4downto0);--状态机硬币总值beginp1:process(clk1)--次态给现态beginifreset1='1'thenc_state<=s2;elsifclk1'eventandclk1='1'thenc_state<=

4、n_state;endif;endprocessp1;p2:process(clk)--投入钱并计算总值beginifreset1='1'thentincoint<="00000";elsifclk'eventandclk='1'thenifcoin1='1'thentouru<="0001";elsifcoin5='1'thentouru<="0101";elsifcoin10='1'thentouru<="1010";elsifcoin1='0'andcoin5='0'andcoin10='0'thento

5、uru<="0000";endif;tincoint<=touru+tincoint;endif;endprocessp2;p3:process(c_state)--进行购买,找零,退钱beginzong<=tincoint;casec_stateiswhens0=>ifsix='1'thenstamp<="0110";n_state<=s1;--选通6角或8角邮票elsifeight='1'thenstamp<="1000";n_state<=s1;elsezong<="00000";ret<="0000";

6、light<='0';n_state<=s0;endif;whens1=>ifzong=stampthenlight<='1';ret<=(zong-stamp);endif;whens2=>ifsix='1'oreight='1'thenret<=zong(3downto0);light<='0';zong<="00000";n_state<=s0;endif;en

7、dcase;endprocessp3;p4:process(ret)--找钱,退钱的相应指示灯begincaseretiswhen"0000"=>retlight<="0000";when"0001"=>retlight<="0001";when"0010"=>retlight<="0010";when"0011"=>retlight<="0011";when"0100"=>retlight<="0100";when"0101"=>retlight<="0101";when"0110"=>retlight<="

8、0110";when"0111"=>retlight<="0111";when"1000"=>retlight<="1000";when"1001"=>retlight<="1001";whenothers=>null;endcase;endprocessp4;endxxrr;波形图:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。