testbanch+Verilog在Modelsim实现3分频及5分频

testbanch+Verilog在Modelsim实现3分频及5分频

ID:46392387

大小:798.01 KB

页数:4页

时间:2019-11-23

testbanch+Verilog在Modelsim实现3分频及5分频_第1页
testbanch+Verilog在Modelsim实现3分频及5分频_第2页
testbanch+Verilog在Modelsim实现3分频及5分频_第3页
testbanch+Verilog在Modelsim实现3分频及5分频_第4页
资源描述:

《testbanch+Verilog在Modelsim实现3分频及5分频》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、用Verilog语言写的三分频电路//上升沿触发的分频设计modulethree(clkin,clkout);inputclkin;//定义输入端口outputclkout;//定义输出端?reg[1:0]step1,step;always@(posedgeclkin)begincase(step)2'b00:step<=2'b01;2'b01:step<=2'b10;2'b10:step<=2'b00;default:step<=2'b00;endcaseendalways@(negedgeclkin)begincase(step1)2'b00:step1<=2'b01;2'b01:st

2、ep1<=2'b10;2'b10:step1<=2'b00;default:step1<=2'b00;endcaseendassignclkout=~(step[1]

3、step1[1]);endmoduleTestbanch:`timescale1ns/100psmodulediv3_tb;wireclkout;regclkin;wire[1:0]step1,step;initialbeginclkin=0;endalways#2clkin=~clkin;div3DUT(.clkin(clkin),.clkout(clkout),.step1(step1),.step(step));end

4、module用Verilog语言写五分频电路,占空比为50%:modulediv_5(clkin,rst,clkout);inputclkin,rst;outputclkout;reg[2:0]step1,step2;always@(posedgeclkin)if(!rst)step1<=3'b000;elsebegincase(step1)3'b000:step1<=3'b001;3'b001:step1<=3'b011;3'b011:step1<=3'b100;3'b100:step1<=3'b010;3'b010:step1<=3'b000;default:step1<=3'b000

5、;endcaseendalways@(negedgeclkin)if(!rst)step2<=3'b000;elsebegincase(step2)3'b000:step2<=3'b001;3'b001:step2<=3'b011;3'b011:step2<=3'b100;3'b100:step2<=3'b010;3'b010:step2<=3'b000;default:step2<=3'b000;endcaseendassignclkout=step1[0]

6、step2[0];endmoduleTestbanch:modulediv5_tb;regrst;regclkin;wireclk

7、out;wire[2:0]step1,step2;initialbeginclkin=0;endalways#10clkin=~clkin;initialbeginrst=0;#20rst=1;#2000$finish;enddiv5DUT(.rst(rst),.clkin(clkin),.clkout(clkout),.step1(step1),.step2(step2));Endmodule

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。