基于DDS的任意信号发生器设计【开题报告+文献综述+毕业论文】

基于DDS的任意信号发生器设计【开题报告+文献综述+毕业论文】

ID:463626

大小:261.09 KB

页数:37页

时间:2017-08-05

上传者:U-944
基于DDS的任意信号发生器设计【开题报告+文献综述+毕业论文】_第1页
基于DDS的任意信号发生器设计【开题报告+文献综述+毕业论文】_第2页
基于DDS的任意信号发生器设计【开题报告+文献综述+毕业论文】_第3页
基于DDS的任意信号发生器设计【开题报告+文献综述+毕业论文】_第4页
基于DDS的任意信号发生器设计【开题报告+文献综述+毕业论文】_第5页
资源描述:

《基于DDS的任意信号发生器设计【开题报告+文献综述+毕业论文】》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

本科毕业论文系列开题报告电子信息工程基于DDS的任意信号发生器设计一、课题研究意义及现状频率合成器是现代电子系统的重要组成部分,是决定电子系统性能的关键设备之一。随着现代通信技术的发展,系统对频率合成器提出了越来越高的要求。低相位噪声、高频谱纯度、高捷变速率和高频率分辨率的频率合成器已经成为频率合成技术发展的主要趋势。直接数字频率合成(DDS)是继直接频率合成(DS)和锁相环频率合成(PLL)之后出现的新的频率合成方法,它是近二十年新兴的一张频率合成技术,它具有分辨率高、切换速度快、相位连续等一系列优点,现已被广泛地应用于通信、雷达、电子对抗和仪器仪表等领域。 由于DDS的自身特点决定了它存在着以下两个比较明显的缺点:一是输出信号的杂散比较大,二是输出信号的带宽受到限制。DDS输出杂散比较大这是由于信号合成过程中的相位截断误差、D/A转换器的截断误差和D/A转换器的非线性造成的。当然随着技术的发展这些问题正在逐步的到解决。如通过增长波形ROM的长度减小相位截断误差。通过增加波形ROM的字长和D/A转换器的精度减小D/A量化误差。在比较新的DDS芯片中普遍都采用了12bit的D/A转换器。当然一味靠增加波形ROM的深度和字长的方法来减小杂散对性能的提高总是有限的。国内外学者在对DDS输出的频谱做了大量的分析以后,总结出了误差的频域分布规律建立了误差模型,在分析DDS频谱特性的基础上又提出了一些降低杂散功率的方法:可以通过采样的方法降低带内误差功率,可以用随机抖动法提高无杂散动态范围(在D/A转换器的低位上加扰打破DDS输出的周期性,从而把周期性的杂散分量打散使之均匀化)。此外随着集成电路制造工艺的逐步提高,通过采用先进的工艺和低功耗的设计,数字集成电路的工作速度已经有了很大的提高。现在最新的DDS芯片工作频率已经可以达到1GHz。这样就可以产生频带比较宽的输出信号了。为了进一步提高DDS的输出频率,产生了很多DDS与其他技术结合的频率合成方法。如当输出信号是高频窄带信号的时候可以用混频滤波的方法扩展DDS的输出,也可以利用DDS的频谱特性来产生高频信号,如输出它较高的镜像频率。DDS和PLL(频率锁相环)相结合的方法也是一种有效的方法。这种方法兼顾了两者的优点,既有较高的频率分辨率,又有较高的频谱纯度。DDS和PLL相结合一般有两种实现方法:DDS激励PLL的锁相倍频方式和PLL内插DDS方式。DDS不仅可以产生正弦波同时也可以产生任意波,这是其他频率合成方式所没有的。任意波在各个领域特别是在测量测试领域有着广泛的应用。通过DDS这种方法产生任意波是一种简单、低成本的方法,通过增加波形点数可以使输出达到很高的精度,这都是其他方法所无法比拟的。一、课题研究的主要内容和预期目标主要内容:本课题设计一个采用DDS技术的任意信号发生器,要求:1.输出波形可设置正弦波、方波、三角波;2.输出频率调节范围:1Hz~1MHz;3.输出幅度调节范围:单极性:0~5V,双极性:-5~5V;预期目标:本课题设计一个采用DDS技术的任意信号发生器,能设置输出信号的波形、频率和幅度。三、课题研究的方法及措施通过查阅大量资料和参考各种有关DDS的信号发生器等相关文献资料以及互联网上各种丰富的数字资源,熟悉研究对象的基本方案和具体原理。然后结合自己的思路和现有的器件进行设计。相位累加器波形ROMD/A转换器低通滤波器KNfcf0DDS波形发生器由相位累加器、波形ROM、D/A转换器、低通滤波器组成。相位累加器由一个N位的加法器和N位的寄存器构成,通过把上一个时钟的累加结果反馈回加法器的输入端实现累加功能,从而使输出结果每一个时钟周期递增K,这里的N是相位累加器的字长,这里的K叫做频率控制字。当ROM地址线上的地址(相位)改变时,数据线上输出相应的量化值(幅度量化序列)。D/A转换器将波形ROM输出的幅度量化序列转化成对应的电平输出,将数字信号转换成模拟信号。但输出波形是一个阶梯波形,必须经过抗镜像滤波,滤除输出波形中的镜像才能得到一个平滑的波形,这里的抗镜就是一个低通滤波器。四、课题研究进度计划毕业设计期限:自2010年9月20至2011年5月18日。第一阶段(9.13-10.15):双向选择,确定研究课题。 第二阶段(10.16-11.19):阅读大量有关信号发生器的参考文献,完成文献综述、外文翻译。第三阶段(11.20-12.4):完成开题报告,准备开题答辩。第四阶段(12.5-1.21):完成信号发生器的软硬件设计,并进行测试。完成论文初稿。第五阶段(2.22-4.1):完善设计和论文。第六阶段(4.4-5):完成PPT,提交所有电子文档资料,准备答辩。三、参考文献[1]冯煦等.基于DDS的多调制功能正弦信号发生器[J].国外电子测量技术,2010(1):39-41.[2]赵伟,黄秀节,雷国伟.基于DDS技术的随机频率信号发生器[J].电子测量技术,2010(1):61-63.[3]孙超,林占江.基于DDS的雷达任意波形信号源的研究[J].电子测量与仪器学报,2008,22(2):31-35.[4]林建英,王涛,王晓迪.基于DDS技术波形发生器的实验教学研究与实施[J].实验科学与技术,2006,4(1):75-78.[5]白居宪.直接数字频率合成[M].西安市:西安交通大学出版社,2007.[6]LCordesses.DireetDigitalSynihesis:AToolforPeriodicWaveGeneration[J].IEEESignalProeessingMagazine,2004:50-54.[7]自居易.低噪声频率合成[J].西安交通大学出版社,1995,5.[8]张厥盛,曹丽娜.锁相与频率合成技术[J].电子科技大学出版社,1995,6.[9]田新广,张尔扬,罗伦,王松.DDS的幅度量化杂散分析[J].无线电工程,1999(4),57-60[10]初仁欣,赵伟,董小刚.数字合成周期信号的频谱和信噪比[J].清华大学学报,2000(1),21-24.[11]张玉兴,彭清泉,DDS的背景杂散信号分析[J].电子科技大学学报,1997(8),362-365. 毕业论文文献综述电子信息工程信号发生器综述摘要:本文主要介绍了信号发生器的一般概念及其基本分类,讲述了信号发生器的现状,在日常生活中的应用,从DDS、FPGA、DSP等结构形式比较得出了各类信号发生器的特点。关键词:信号发生器;FPGA;DDS;DSP1.信号发生器的定义及分类信号发生器是一种能产生标准信号的电子仪器,是工业生产和电工、电子实验中经常使用的电子仪器之一。信号发生器种类较多,性能各有差别,但它们都可以产生不同频率的正弦波、调幅波调频波信号,以及各种频率的方波、三角波、锯齿波和正负脉冲波信号等。利用信号发生器输出的信号,可以对元器件的性能及参数进行测量,还可以对电工和电子产品整进行指数验证、参数调整及性能鉴定。在多数电路传递网络中、电容与电感组合电路,电容与电阻组合电路及信号调制器的频率、相位的检测中都可以得到广泛的应用。信号发生器按其频率的高低,可分为:超低频信号发生器,低频信号发生器,高频信号发生器,超高频信号发生器,微波信号发生器;按产生波形的不同,可分为:正弦波信号发生器,脉冲波函数波信号发生器,任意波信号发生器;按调制方式的不同,可分为:调频信号发生器(FM)、调幅信号发生器(AM),调相信号发生器(PM),脉冲调制信号发生器;此外,还可以产生两种或多种波形信号发生器[1]。2.信号发生器的现状和应用信号是运载消息的工具,是消息的载体,也在日常生活中有有着广泛的应用,是必不可少的。对于一些仪器所需的信号,当然离不开信号发生器。信号发生器是指产生所需参数的电测试信号的仪器。信号发生器在信号源、检测仪表等电子设备中具有十分广泛的用途。作为实验用信号源,信号发生器是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前常见的信号发生器有三种:第一种是由分立元件构成,采用振荡电路实现[2]。第二种是采用专用波形集成芯片,通过改变外围参数实现。第三种是采用微处理器、FPGA、DDS芯片等,用现代电子设计技术实现。由分立元件构成的信号发生器由于元器件的分散性及环境条件的改变等因素,致使波形频率产生偏差。由现代电子技术实现的波形发器主要有“单片机+低速D/A转换”和“FPGA+ 高级D/A转换或采用DDS数字合成”两种,前者用于低频,价位低,后者主要用于高频或高精度场所,价位高。采用现代电子技术通过编程实现的信号源,从技术上克服了元器件分散性造成波形失真的问题。而在信号发生器的研究过程中,D/A和lcd是很重要的。将数字信号转换为模拟信号的电路称为数模转换器,即D/A,它被广泛地应用在信号采集和处理、数字通信、自动检测、自动控制和多媒体技术等领域。3.信号发生器的结构形式3.1基于DDS的信号发生器DDS技术的核心是相位累加器,它类似一个计数器,每收到一个时钟信号,相位累加器的输出就增加一个步长的相位增加量,相位增加量的大小由频率控制字确定。根据系统功能的要求,系统的总体框图设计如图1所示,信号产生模块选用DDS芯片AD9850。AD9850是AD公司生产的最高时钟为125MHz、采用先进的CMOS技术的直接频率合成器,它结合一个片内高速、高性能DAC和比较器构成一个完全数字控制可编程频率合成器,并具有时钟产生功能的高集成度芯片。该芯片产生正弦信号,最高输出信号频率为62.5MHz,能满足设计的输出信号频率要求,并留有扩展空间。显示模块选用带有12864ZB液晶屏显示功能。该显示屏具有亮度可调、自带字库、节省主控制器资源的优点,并且能完全支持8位数据并行传输和中文显示功能。主控制模块选用AT89S52作为系统的主控制器。该芯片具有在线可编程功能,最快33MHz的输入频率,丰富的I/O口,能够满足对信号产生模块、按键控制模块、显示模块的控制,并能快速完成频率控制字的计算,满足系统控制功能的要求[3-5]。微处理器信号产生模拟处理按键控制低通滤波LCD显示图1系统功能总体框图3.2基于FPGA的信号发生器信号发生器硬件组成见图2所示,主要由三部分组成:(1)MCS51与FPGA的接口:实现MCS51与FPGA接口。(2)控制及显示部分的接口:实现DDM 的识别和显示。(3)数模(D/A)转换:把FPGA输出二进制码变成正弦电压,其幅度由D/A转换器所输出的参考电压控制。(4)复合信号的合成:实现正弦电压向复合信号的转换。其工作过程是:由从CPU根据按键产生的中断,查询波形幅度存储单元(存放90Hz和150Hz信号幅度信息),在显示的同时,并开始向FPGA传送此幅度信息;FPGA中的存贮着1个周期的复合信号的波形,只有加电FPGA即执行90Hz正弦信号、150Hz正弦信号生成程序,分别通过D/A网络1和D/A网络3,将数字量变成模拟量,从而得到90Hz正弦信号、150Hz正弦信号,90Hz正弦信号的幅度控制由单片机控制D/A网络2产生90Hz基准电压而实现,150Hz正弦信号的幅度控制由单片机控制D/A网络4产生150Hz基准电压而实现;90Hz正弦信号、150Hz正弦信号在复合信号产生网络中叠加,最终形成复合电压信号[6-8]。INT0FPGAD/A网络1D/A网络2D/A网络3D/A网络490HZ正弦150HZ正弦复合信号产生网络P0P2ALEWRRD图2基于FPGA的信号发生器组成框图3.3基于DSP的信号发生器基于TMS320VC5402的信号发生器的基本原理是基于数模转换技术,在DSP板上对任意波形信号进行编程,通过DSP执行相应的程序,从DSP的多通道缓冲串口McBSP0向TLC320AD50C发送波形数据,产生波形输出。采样率由DSP片内可编程定时器控制。每次当定时器寄存器TIM减少到0时,会产生一个定时器中断(TINT),定时器中断周期T由公式计算得出:T=CLKOUT×(TDDR+1)×(PRD+1)其中,CLKOUT为时钟周期,TDDR和PRD分别为定时器控制寄存器(TCR)的分频系数位的值和定时器周期值[9-10]。4.总结方案1具有结构简单、控制灵活、输出信号稳定、精度高等特点。另外仪器体积小,性价比高,可以应用在收发信机、载波同步、雷达、调制解调电路、电子测量等方面。方案2具有FPGA接口灵活、编程简单的特点。方案3具有波形精度高、稳定性好,编程简单、灵活, 在实际应用中取得了很好的效果。所有的这些社会需求以及微电子技术、计算机技术、信号处理技术等本身的不断进步都极大刺激了频率合成器技术的发展。可以预料,随着低价格、高时钟频率、高性能的新一代DDS芯片的问世,DDS的应用前景将不可估量。参考文献[1]彭卫发.浅谈基于单片机多功能智能信号发生器[J].宜春职业技术学院.[2]徐柳娟.函数信号发生器电路制作[J].浙江水利水电专科学校学报,2009,12,21(4):31~33.[3]魏春英,李虹.基于DDS的信号发生器的设计[J].宁夏大学物理电气信息学院,2009,12,8(4).[4]薛峰,吴利民,吴巍.一种高性能DDS芯片AD9850及其应用[J].无线电通信技术,1999.[5]J.Vallkka,Methodsofmappingfromphasetosineamplitudeindirectdigitalsynthesis[J],IEEEProe,50thAFCS,1996(2):45-50.[6]申彦春,王欢,梁廷贵.基于FPGA的信号发生器的设计[J].唐山学院信息工程系,2008,3,21(2).[7]郭强.基于FPGA的信号发生器的设计[J].科技信息,2010(11).[8]宋仲康,孔利东.基于VHDL语言的信号发生器的设计与实现[J].工业控制技术,2006.[9]刘剑科,王艳芬,王胜利.基于DSP的信号发生器的设计与实现[J].信息与电气工程学院,2005.[10]TMS320C54xDSPReferenceSet:CPUandPeripherals(LiteratureNumberSPRU131)[M].TexasInstrumentsInc,1997,1. 本科毕业设计(20届)基于DDS的任意信号发生器设计 摘要信号是运载消息的工具,是消息的载体,也在日常生活中有有着广泛的应用,是必不可少的。对于一些仪器所需的信号,当然离不开信号发生器,因此信号发生器的研究具有重要的现实意义。基于DDS技术的信号发生器是随着不断进步的计算机技术和微电子技术在测量仪器中的应用而形成和发展起来的一类新型信号源。此信号发生器具有输出频率稳定、准确,波形质量好和输出频率范围宽等特点,是科学研究的一个重要方向。因此针对信号发生器的特点,设计了一种基于DDS的任意信号发生器系统。硬件电路以AT89C51单片机为微处理器,分为微处理器模块、信号产生模块、键盘显示模块、模拟处理模块四个部分。软件部分主要分为主程序,信号产生模块,键盘显示模块三部分。系统利用单片机低功耗、处理能力强的特点,控制DDS芯片AD9850产生清晰的波形,再经过模拟处理,最后得到所需的波形。单片机高程度的自动化操作,是具有良好的实用价值和发展前景的。关键词:直接数字频率合成;信号发生器;AD9850;频率 AbstractSignalsarecarryingnewstool,isthenewsofthecarrier,alsoineverydaylifethereinawiderangeofapplications,isindispensable.Forsomeinstrumentsignal,ofcourse,withouttherequiredsignalgeneratorthereforesignalgeneratorresearchhasimportantrealisticsignificance.BasedonDDStechnologyofsignalgeneratorisalongwiththeprogressofcomputertechnologyandmicroelectronicstechnologyapplicationinmeasuringinstrumentisformedanddevelopedanewtypeofsource.Thissignalgeneratorwithoutputfrequencystability,accuracy,goodqualityandoutputwaveformfrequencyrangewide,andotherfeatures,isoneoftheimportantresearchdirection.Thissystemaccordingtothecharacteristicsofsignalgenerator,designakindofanysignalgeneratorbasedonDDSsystem.HardwarecircuitAT89C51SCMintomicroprocessor,dividedintomicroprocessorssystems,signalgeneratedmodule,keyboarddisplaymodule,simulationprocessingmodulesfourmodules.Software ismainlyclassifiedinto themainprogram, signalgeneration module,keyboard displaymodule ofthreeparts.SystemUSESsinglechiplowpowerconsumption,handlingabilitystrongcharacteristic,controlchipAD9850createclearerDDSwaveforms,repasssimulationprocessing,andfinallytoobtaintherequiredwaveform.Single-chipmicrocomputerhighdegreeofautomation,isagoodpracticalvalueandtheprospectsofdevelopment.KeyWords:Directdigitalfrequencysynthesis,Signalgenerator;AD9850;Frequency 目录1 引言11.1信号发生器技术11.2DDS的概述32 设计方案42.1设计要求42.2设计方案43硬件设计53.1微处理器模块53.1.1时钟电路53.1.2复位电路63.2信号产生模块63.2.1DDS时钟电路73.2.2正弦波产生73.2.3低通滤波器83.3键盘显示模块93.3.1液晶显示模块93.3.2键盘控制模块103.4模拟处理模块113.4.1方波产生113.4.2三角波产生114软件设计134.1主程序134.2信号产生模块154.2.1发一个字节模块154.2.2发40位函数模块164.3键盘显示模块174.3.1按键扫描模块184.3.2液晶显示模块204.3.3键值处理模块21结论24致谢25参考文献26附录1实验原理图27 1 引言信号发生器是一种常用的信号源,广泛应用于电子电路、自动控制和科学实验等领域。它是一种为电子测量和计量工作提供符合严格技术要求的电信号设备。因此,信号发生器和示波器、电压表、频率计等仪器一样是最普通、最基本的,也是应用最广泛的电子仪器之一,几乎所有的电参量的测量都需要用到信号发生器[1]。1.1信号发生器技术信号发生器是一种历史最为悠久的测量仪器,早在上世纪二十年代,当电子设备刚出现时,他就已经出现了。自六十年代以来,信号发生器有了迅速的发展,出现了函数发生器、扫频信号发生器、合成信号发生器、程控信号发生器等新种类。各类信号发生器的主要性能指标也都有了大幅度的提高,同时在简化机械结构、小型化、多功能等各方面也都有了显著的进展[2]。信号发生器是一种能产生标准信号的电子仪器,是工业生产和电工、电子实验中经常使用的电子仪器之一。信号发生器种类较多,性能各有差别,但它们都可以产生不同频率的正弦波、调幅波调频波信号,以及各种频率的方波、三角波、锯齿波和正负脉冲波信号等。利用信号发生器输出的信号,可以对元器件的性能及参数进行测量,还可以对电工和电子产品整进行指数验证、参数调整及性能鉴定。在多数电路传递网络中、电容与电感组合电路,电容与电阻组合电路及信号调制器的频率、相位的检测中都可以得到广泛的应用[3]。信号发生器按其频率的高低,可分为:超低频信号发生器,低频信号发生器,高频信号发生器,超高频信号发生器,微波信号发生器;按产生波形的不同,可分为:正弦波信号发生器,脉冲波函数波信号发生器,任意波信号发生器;按调制方式的不同,可分为:调频信号发生器(FM)、调幅信号发生器(AM),调相信号发生器(PM),脉冲调制信号发生器;此外,还可以产生两种或多种波形信号发生器[4]。 信号是运载消息的工具,是消息的载体,也在日常生活中有有着广泛的应用,是必不可少的。对于一些仪器所需的信号,当然离不开信号发生器。信号发生器是指产生所需参数的电测试信号的仪器。信号发生器在信号源、检测仪表等电子设备中具有十分广泛的用途。作为实验用信号源,信号发生器是现今各种电子电路实验设计应用中必不可少的仪器设备之一。目前常见的信号发生器按其结构分为三种:第一种是由分立元件构成,采用振荡电路实现。第二种是采用专用波形集成芯片,通过改变外围参数实现。第三种是采用微处理器、FPGA、DDS芯片等,用现代电子设计技术实现。由分立元件构成的信号发生器由于元器件的分散性及环境条件的改变等因素,致使波形频率产生偏差。由现代电子技术实现的波形发器主要有“单片机+低速D/A转换”和“FPGA+高级D/A转换或采用DDS数字合成”两种,前者用于低频,价位低,后者主要用于高频或高精度场所,价位高[5]。采用现代电子技术通过编程实现的信号源,从技术上克服了元器件分散性造成波形失真的问题。而在信号发生器的研究过程中,D/A和lcd是很重要的。将数字信号转换为模拟信号的电路称为数模转换器,即D/A,它被广泛地应用在信号采集和处理、数字通信、自动检测、自动控制和多媒体技术等领域。利用现代频率合成技术制成的合成信号发生器,通常被称为频率合成器或频率综合器。但严格来地说,他们是有区别的。频率合成器是指利用频率合成技术合成的频率源,他常常是没有调制的,也没有足够宽的和足够准确的输出电平调节,其工作频率范围往往不是很宽,最小频率间隔也比较大,一般作为专用设备使用,或为某个系统中的一个组成部分,比如通讯设备中的激励源和本振等。合成信号发生器是通用的电子测量仪器,是一种具有高频率稳定度和准确度的测量用信号发生器。因此,他可以输出多种波形,有宽而准确的输出电平调节,有较宽的输出频率范围,较小的频率间隔[6]。基于频率合成原理制成的信号发生器,由于可以获得很高的频率稳定度和精确度,因此发展非常迅速。尤其是最近随着现代电子技术的发展,其应用更是有了质的飞跃[7]。在此设计中,单片机具有集成度高、功能强、体积小、可靠性高、价格低和开发周期短等特点。采用不同的芯片和模块来组成各种控制系统非常方便,因此单片机控制将得到越来越广泛的应用。同时随着计算机技术的进步,控制精度会随着控制理论的完善和测量精度的提高而日趋提高。1.2DDS的概述 DDS技术的基本理论虽早在70年代就已经提出来了,但是由于硬件条件的限制,它在初期并没有得到很大的重视。最近几年,随着现代电子技术和大规模集成电路的发展,DDS技术得到了飞速的发展,并已成为最重要的频率合成技术。DDS技术虽然最初是作为频率合成技术提出的,但现在它也被广泛应用于任意波形发生器。在现代电子系统中,经常需要产生稳定的重复波形,如正弦波或方波。在一些场合,还要求产生信号的频率能准确调节;甚至要求产生多路信号,而且这些信号之间的相位要保持确定的关系。DDS(DirectDigitalSynthesis,直接数字合成)是一种应用数字技术产生信号波形的方法。DDS技术建立在采样定理的基础上,它首先对需要产生的信号波形进行采样和量化,然后存入存储器作为待产生信号波形的数据表。输出信号波形时,电路在一个高稳定时钟信号控制下从数据表中依次读出信号波形的数据,产生数字化的信号,这个信号再通过D/A转换成所需的模拟信号波形。相对于其它信号波形产生技术,DDS技术具有输出信号的采样频率固定、频率稳定性高、信号频率转换时间短、输出相位连续、全数字化、可编程和易于控制等优点[8]。本设计运用DDS技术来控制产生波形,其研究意义深远。本系统分为微处理器系统、信号产生模块、模拟处理模块和键盘显示模块4个部分。文中对每个模块的功能及实现过程做了详细的介绍,本设计的核心是DDS的工作原理。 2 设计方案2.1设计要求本课题设计一个采用DDS技术的任意信号发生器,要求:1.输出波形可设置正弦波、方波、三角波;2.输出频率调节范围:1Hz-1MHz;3.输出幅度调节范围:0-5V。2.2设计方案基于DDS的信号发生器设计分为微处理器模块、信号产生模块、按键显示模块和模拟处理模块4个部分。系统的总体框图设计如图2-1所示,微处理器系统选用AT89C51作为系统的主控制器。该芯片具有在线可编程功能,输入频率最高为33MHz,丰富的I/O口,能够满足对信号产生模块、按键控制模块、显示模块的控制,并能快速完成频率控制字的计算。信号发生模块的核心是DDS技术,DDS内部有一个相位累加器,它类似一个计数器,每收到一个时钟信号,相位累加器的输出就增加一个步长的相位增加量,相位增加量的大小由频率控制字确定。信号产生模块选用DDS芯片AD9850。AD9850是AD公司生产的最高时钟为125MHz、采用先进的CMOS技术的直接频率合成器,它结合一个片内高速、高性能DAC和比较器构成一个完全数字控制可编程频率合成器,并具有时钟产生功能的高集成度芯片。该芯片产生正弦信号,最高输出信号频率为62.5MHz[9]。显示模块选用带有12864ZB液晶屏显示功能。该显示屏具有亮度可调、自带字库、节省主控制器资源的优点,并且能完全支持8位数据并行传输和中文显示功能。微处理器信号产生模拟处理按键控制低通滤波LCD显示图2-1系统总体结构框图 3硬件设计本系统硬件主要有四大模块组成:微处理器模块、信号产生模块、键盘显示模块、模拟处理模块。3.1微处理器模块系统采用AT89C51作为微处理器。该芯片是一种带4K字节闪存可编程可擦除只读存储器的低电压、高性能CMOS8位微处理器,管脚少,体积小,且功能强。该器件采用ATMEL高密度非易失存储器制造技术制造,与工业标准的MCS-51指令集和输出管脚相兼容。它具有丰富的I/O口,能够满足对信号产生模块、按键控制模块、显示模块的控制,并能快速完成频率控制字的计算,满足系统控制功能的要求,其电路图如图3-1所示。图3-1微处理器电路图3.1.1时钟电路单片机内部有 一个高增益反相放大器,输入端为芯片引脚XTAL1,输出端为引脚XTAL2。在外部XTAL1和XTAL2之间接一个晶体振荡器和2个微调电容,构成了一个平稳的自激振荡器。其中晶振频率采用12MHZ的石英晶体,在晶振两端加了2个30pF的起振电容C2、C3,使晶振能够方便快速的起振。其电路图如上图3-1所示。3.1.2复位电路为确保微机系统中电路稳定可靠工作,复位电路是必不可少的一部分。复位是单片机的初始化操作,其作用是使CPU和系统中其他部件都处于一个确定的初始状态,并从这个初始状态开始工作。本设计采用按键电平复位,电平复位是通过RESECT端与VCC连通而实现的,其电路图如上图3-1所示。RST引脚是复位信号的输入端,复位信号是高电平有效。复位电路的工作原理:VCC上电时,C充电,在10K电阻上出现电压,使得单片机复位;几个毫秒后,C充满,10K电阻上电流降为0,电压也为0,使得单片机进入工作状态。工作期间,按下S,C放电。S松手,C又充电,在10K电阻上出现电压,使得单片机复位。几个毫秒后,单片机进入工作状态。3.2信号产生模块信号产生模块选用DDS芯片AD9850。AD9850是AD公司生产的最高时钟为125MHz、采用先进的CMOS技术的直接频率合成器,它结合一个片内高速、高性能DAC和比较器构成一个完全数字控制可编程频率合成器,并具有时钟产生功能的高集成度芯片。该芯片产生正弦信号,最高输出信号频率为62.5MHz。AD9850有40位控制字,32位用于频率控制(低32位),5位用于相位控制,1位用于电源休眠(Powerdown)控制,2位用于选择工作方式。这40位控制字可通过并行或串行方式输入到AD9850[10]。其中串行写入方式是采用D7作为数据输入端,图中D7跟单片机的P1.4相连,每次W_CLK的上升沿把一个数据串行移人到输入寄存器,40位数据都移入后,FQ_UD上升沿完成输出信号频率和相位的更新。其中DO,D1脚接高电平,D2脚要接地。FQ—UD接P1.5,W—CLK接P1.6。其电路图如图3-2所示。 图3-2AD9850波形产生电路3.2.1DDS时钟电路DDS系统对外部时钟源的要求非常严格,频率稳定度低的时钟源产生的相位噪声将影响输出信号的频谱纯度。由于TCXO(温度补偿晶体振荡器)利用热敏电阻网络能够补偿晶振频率随温度的变化,TCXO能够输出高稳定度的信号。因此,选择高精度100MHz有源TCXO作为时钟发生器,产生的时钟信号输入到AD9850的CLKIN引脚上,这样就能够产生高稳定度的正弦波时钟信号[11]。有源TCXO外部仅有4个管脚,第1个管脚为NC,第2个为GND,第3个为OUT,第4个为V+。其电路图如上图3-2所示。3.2.2正弦波产生如图3-3电路图中,由一个运算放大器组成的跟随器其内阻很小,带负载能力强。其主要功能是构成一个电流电压转换器,把IOUT端口输出的电流信号转换成电压信号输出,在由AD9850的IOUT端输出时,经低通滤波,抗干扰处理,在电容C9缓冲,在OUT输出一个稳定的正弦波信号。 图3-3正弦波信号3.2.3低通滤波器在产生正弦波信号中,DDS芯片的输出具有大量的谐波分量及系统时钟干扰,而低通滤波器能够较好地滤除杂波,平滑信号,所以为了得到所需频段内的信号,故在DDS的输出端加一个滤波器来实现,其电路图如下图3-4所示。滤波器采用无源低通滤波器和运算放大器相结合的方式,它既满足了本设计对输出电压的要求,又可以利用运放的增益带宽积(GBW)实现二次低通滤波。此滤波电路引入了运放,使电路变得复杂,但同时也使滤波器的电压放大倍数和带负载能力得到了提高[12]。低通滤波器采用LC型,其特点为等效内阻小、输出功率大,电路并联了电容C7,C8,使电路的抗干扰能力加强,以便于更好的滤除杂波,AD817是一款低功耗、高速、宽带运算放大器,具有很强的负载驱动能力,增益带宽积GBW约为50MHz。 图3-4低通滤波电路3.3键盘显示模块3.3.1液晶显示模块显示模块选用带有12864ZB液晶屏显示功能。该显示屏具有亮度可调、自带字库、节省主控制器资源的优点,并且能完全支持8位数据并行传输和中文显示功能。显示电路的核心部分是12864ZB液晶屏,它内带微控制器和中英文数字字库,它主要完成的是显示人机界面,把系统操作信息和用户所输入的频率数值以及当前输出信号频率数值直接显示出来。DDS波形产生模块的正弦波产生主要是通过单片机对DDS芯片送入频率控制字改变波形的频率,使信号的频率在规定的范围内可以随意调节。正弦波可由DDS直接输出模拟波形,另外,可将输出的正弦波经过低通滤波器接到高速比较器的输入端,经比较器输出方波。单片机控制模块的主要工作是从按键模块中读取用户输入指令信息,根据用户输入的指令信息计算相应频率控制字。在写时序控制下,将频率控制字写入AD9850内部的各个寄存器,产生所需的合成信号。 液晶显示电路图如3-5所示。电路中GND端接地,VCC端接5V电源,V0端接一个10K的滑动变阻器接地,V0端为对比度调整端,接地电源时对比度最高,接正电源时对比度最弱,对比度过高时会产生“鬼影”,电位器(可变电阻)用于调节对比度。7-14脚为8位双向数据线,接P0口。RS端为寄存器选择,高电平时选择数据寄存器、低电平时选择指令寄存器,接P2.4口。RW端为读写信号线,高电平时进行读操作,低电平时进行写操作,接P2.5口。E端为使能端,当E端由高电平跳变成低电平时,液晶模块执行命令,接P2.6口。图3-5LCD液晶显示电路3.3.2键盘控制模块 在单片机应用系统中为了控制系统的工作状态,以及向系统输入数据,应用系统设有按键或键盘,来实现简单的人机会话。键盘是一组按键的集合,它的主要作用是设置频率、幅度、选择方式等功能。键盘一般分为独立式键盘和矩阵式键盘,独立式键盘按键数量少,可根据实际需要灵活编码;矩阵式键盘按键的位置由行号和列号唯一确定,因此可分别对行号和列号进行二进制编码,然后将两值合成一个字节,高4位是行号,低4位是列号。由于本设计用到的按键少,所以选择独立式键盘。如图3-6中,4个按键分别跟单片机的P1.0,P1.1,P1.2,P1.3串口相连,主要工作是输入频率控制字来改变波形的频率,使信号的频率在规定的范围内可以随意调节。图3-6键盘控制模块电路3.4模拟处理模块3.4.1方波产生正弦波信号在wave1端口经过一个高速比较器,大于0的输出为1,小于0的输出为0,在OUT2输出一个稳定的方波信号。其电路图如下图3-7所示。图3-7方波信号3.4.2三角波产生如下图3-8所示,此电路构成一个积分器,方波信号在wave2端口经过积分运算,在电解电容C5和瓷片电容C6的滤波后,输出端OUT3输出一个稳定,清晰的三角波信号。 图3-8三角波信号 4软件设计软件系统主要包括主程序,信号产生模块,键盘显示模块三个部分。4.1主程序整个系统的主程序从初始化各个模块开始,初始化完毕后扫描是否有按键按下,如果有按键按下就通过判断按键值调整显示内容。接着,根据键入数据计算频率控制字。最后,通过I/O口向AD9850发送频率控制字。完成以上步骤之后,程序处于等待按键键入的状态。初始化模块的主要功能是初始化AT89C51、AD9850芯片和LCD12864,其主程序流程图如图4-1所示。N按键扫描判断是否按键计算频率控制字向AD9850送控制字12864显示开始初始化YN图4-1主程序流程图主程序中初始化关键代码如下: ucharkey_temp;uinti;ucharj;fnLCMInit();//液晶屏初始化cls();//清屏main_Play();//显示开机画面for(j=10;j>0;j--)//延时{for(i=60000;i>O;i--);}M_mcnuplay();//显示主菜单画面dds_init();//AD9850初始化do{//通过键盘扫描来执行程序Key_temp=Key_scan(void);key_function();}在程序中i,j为延时变量,fnLCMInit()是液晶初始化函数,液晶屏初始化后清屏,显示开机画面后,延时等待,显示菜单,dds_init()是AD9850的初始化函数,然后通过键盘扫描来运行程序。主程序从键盘扫描模块读取输入信息控制信号产生模块按要求产生相应的信号,并把相应的信号送入显示模块显示。本设计中,主要是显示频率跟幅度的数值。主程序向显示模块发送显示信息的关键代码如下:Ucharfrequency[]={频率:};Ucharrange[]={幅度:};send_com(0x80);//LCD12864第一行for(i=0;i<4;i--)send_data(frequency[i]);//显示频率字样send_com(0x90);//LCD12864第二行for(i=0;i<4;i--)send_data(range[i]);//显示幅度字样在LCD液晶显示屏上第一行显示频率,第二行显示幅度,在LCD12864中频率寄存在地址0x80中,幅度寄存在地址0x90中。4.2信号产生模块 信号产生模块的主要功能是接收单片机发送的频率控制字,然后输出相应的波形,信号产生模块中核心是AD9850。信号产生模块主要由发一个字节模块和发40位函数模块2部分组成。4.2.1发一个字节模块发送一个字节到AD9850,即连续发8位数据给AD9850,首先定义变量x,x<8,发8位数据给x,DDS_SER接P1.4,若该引脚为高电平,在其上升沿时,则此一位数据输入寄存器,数据右移一位,DDS_W_CLK为0,表示时钟线拉低。其流程图如图4-2所示。计数器X=8X=0?返回发送一位DDS_W_CLK=1dta右移1位DDS_W_CLK=0X减1NY开始图4-2发一个字节流程图向AD9850发一个字节的关键代码如下:charx;//定义变量for(x=0;x<8;x++)//连续发8位数据 {if(dta&1)DDS_SER=1;//DDS_SER为P1.4,该引脚为高电平elseDDS_SER=0;DDS_W_CLK=1;//一位数据输入寄存器dta=dta>>1;//数据右移一位DDS_W_CLK=0;//时钟线拉低}程序中,dta存放一位数据,每将一位数据送到P1口后,必须将P1.6(W-CLK)置高电平,在其上升沿时,AD9850接收到P1口相连的数据线上的数据,输入寄存器,然后将P1.6置低电平,并准备下一位数据的发送。4.2.2发40位函数模块发40位函数即连续发5个字节,首先定义变量x,x<5,连续发送5个字节,发完40位,存在数据包dds.ad9850[x]中,DDS_FQ_UD高电平时使信号频率和相位更新。其流程图如下图4-3所示。 计数器X=5X=0?返回发一个字节信号频率和相位的更新YN开始图4-3发40位函数流程图向AD9850发40位函数的关键代码如下:charx;//定义变量for(x=0;x<5;x++)//连续5个字节{dds_send_one(dds.ad9850[x]);//发送40位}DDS_FQ_UD=1;//信号频率和相位的更新DDS_FQ_UD=0;程序中,连续发送5个字节后,须将P1.5(FQ-UD)再次置高电平,以使AD9850根据此输入的控制字更改频率和相位输出,随后再置P1.5为低电平,准备下一组发送。4.3键盘显示模块 键盘显示模块的主要功能是从按键模块中读取用户输入指令信息,根据用户输入的指令信息计算相应频率控制字,在写时序控制下,将频率控制字写入AD9850,控制AD9850产生所需的合成信号。单片机应用系统有多种应用状态、应用模式,相应会有多种多样的系统程序结构。这些程序结构大致有以键盘管理为中心的键操作结构,无外部操作的自主运行程序结构和基于RTOS任务管理的嵌入式结构。本系统程序结构属于以键盘为中心的键操作结构,整体软件采用模块化程序设计方法,由C51编写。键盘显示模块可分为按键扫描模块、显示模块、键值处理模块。各功能模块及函数有:voidkeysanf(void)键盘扫描模块voidmenu(void)菜单模块voiddisp(void)显示模块4.3.1按键扫描模块按键程序部分是通过4个按键来完成相应功能的,其中包括1个功能/确认键、1个返回/修改键、1个加键、1个减键。通过功能/确认键进入功能菜单,通过返回/修改键对刚才设置的内容进行修改或者返回到上一级菜单中,通过加键和减键分别在设置频率是连续相加和连续相减或者对子功能进行移位选择,以方便实现各种功能的调用。具体来说就是输出口按位轮换输出低电平,再从输入口读入各列线状态,送入键盘输入缓冲区域,最后通过软件方法获得键码。其流程图如下图4-4所示。 读键值等待按键是否为功能/确认键是否为返回/修改键是否为加键或减键调用功能/确认键子程序调用返回/修改键子程序调用加键或减键子程序NNNYYYYN开始结束图4-4键盘扫描程序流程图其关键代码如下:ucharrecode;P1=0x0f;if((P1&0x0f)!=0x0f)//若有键按下{del-ms(12);//延时去抖动if((P1&0x0f)!=0x0f){recode=P1&0x0f;//扫描初值Swith(recode) {Case0x0e:return(‘1’);break;//按下P1.0返回值为1Case0x0d:return(‘2’);break;//按下P1.1返回值为2Case0x0b:return(‘3’);break;//按下P1.2返回值为3Case0x07:return(‘4’);break;//按下P1.3返回值为4}}}returnNo-key;//无键按下返回本系统中用到四个按键,分别的功能是P1.0为设置功能,P1.1为加键,P1.2为减键和P1.3为确定键。先是初描P1&0x0F是否有按键按下,有按下即不等于0XOF,再去抖延时,读取按键,不为0XOF。细扫按键,再则判断是哪个按键按下,返回的值不同。4.3.2液晶显示模块液晶显示程序流程图如图4-5所示。该模块的主要功能是把显示预处理及显示处理后参数设置值在LCD上显示。开始检测忙信号传送数据选择寄存器结束进行写操作使能端由1转为0图4-5液晶显示程序流程图 液晶显示程序流程图中先选中选择数据寄存器,再进行写操作,E端为使能端,当EN端由高电平跳变成低电平时,液晶模块执行命令。以下是写数据到LCD的关键代码:unsignedLCD_RS=O;unsignedLCD_RW=0;unsignedLCD_RW=0;unsignedLCD_EN=0;lcd_wait();//检测忙信号DATAPORT=WDLCD;//将变量传给数据端LCD_RS=1;//选择数据寄存器LCD_RW=0;//写模式LCD_EN=1;_nop_();_nop_();_nop_();//空操作三个机器周期LCD_EN=0;lcd_wait()是lcd用来检测信号是否忙的信号函数;WDLCD是一个字符型变量;RS定义为P2.4脚,为数据/命令端;RW定义为P2.5脚,为读/写选择端;EN定义为P2.6脚,为使能端;DATAPORT定义P0口为LCD通讯端口。RS为高电平时,选择数据寄存器;为低电平时,选择指令寄存器。RW为高电平时,进行读操作;为低电平时,进行写操作。EN从高电平转换到低电平时,执行命令。将变量传给数据端P0口后,选择数据寄存器,再进行写操作,将芯片进行使能操作,当E端由高电平跳变成低电平时,液晶模块开始执行命令,数据就写入LCD了。4.3.3键值处理模块键值处理是按键模块的最后处理过程,主要是对P1.0-P1.3这4个按键的具体操作。其流程图如图4-6所示。 液晶初始化界面显示主菜单等待按键按键处理信号发生菜单NY开始图4-6键值处理流程图以下是键值处理的关键代码:switch(Key_temp){case'1'://进入参数修改状态{key1num++;switch(key1num){case1:send_com(0x0f),send_com(0x83);break;//选中频率参数case2:send_com(93);break;//选中幅度参数}}break;case'2'://对应参数的增加{ if(key1num==1){set_frequency++;set_frequency;//LCD显示}if(key1num==2){set_range++;set_range;//LCD显示}}break;case'3'://对应参数的自减{if(key1num==1){set_frequency--;set_frequency;//LCD显示}if(key1num==2){set_range--;set_range;//LCD显示}}break;case'4'://确定参数设置{参数设置成功并发送到AD9850;}首先,按下P1.0进入修改状态设置,0x83是频率参数的存放地址,0x93是幅度参数存放地址,P1.1,P1.2是对应参数的增减,后在LCD液晶显示出来,P1.3是确定键,参数设置成功并发送到AD9850。 结论本设计按照任务书的要求,基本完成了任务书所规定的任务。在对任意信号发生器的研究中,分析了频率合成技术的基本问题,介绍了各种传统的频率合成技术,并重点介绍了数字信号合成技术。以直接数字频率合成技术为基础,采用在线可编程单片机AT89S51为主控制器,结合直接数字频率合成芯片AD9850,实现了从1Hz到1MHz的频率可调正弦信号的产生。通过设计低通滤波器,使波形输出更加稳定,平滑。又采用了高速比较器跟积分器处理,产生了方波和三角波。整个系统与其他仪器相比,具有结构简单、控制灵活、输出信号稳定、精度高等特点。由于本设计涉及到滤波、功放以及软件编程等众多知识领域及本人在相关领域知识的缺乏,所以,本设计的各项功能指标还有待完善,基于条件的不足,没有将课题做进一步研究,有待在以后的工作学习中不断进步。 参考文献[1]彭卫发.浅谈基于单片机多功能智能信号发生器[J].宜春职业技术学院.[2]徐柳娟.函数信号发生器电路制作[J].浙江水利水电专科学校学报,2009,12,21(4):31~33.[3]魏春英,李虹.基于DDS的信号发生器的设计[J].宁夏大学物理电气信息学院,2009,12,8(4).[4]薛峰,吴利民,吴巍.一种高性能DDS芯片AD9850及其应用[J].无线电通信技术,1999.[5]J.Vallkka,Methodsofmappingfromphasetosineamplitudeindirectdigitalsynthesis[J],IEEEProe,50thAFCS,1996(2):45-50.[6]TMS320C54xDSPReferenceSet:CPUandPeripherals(LiteratureNumberSPRU131)[M].TexasInstrumentsInc,1997,1.[7]冯煦等.基于DDS的多调制功能正弦信号发生器[J].国外电子测量技术,2010(1):39-41.[8]赵伟,黄秀节,雷国伟.基于DDS技术的随机频率信号发生器[J].电子测量技术,2010(1):61-63.[9]孙超,林占江.基于DDS的雷达任意波形信号源的研究[J].电子测量与仪器学报,2008,22(2):31-35.[10]林建英,王涛,王晓迪.基于DDS技术波形发生器的实验教学研究与实施[J].实验科学与技术,2006,4(1):75-78.[11]白居宪.直接数字频率合成[M].西安市:西安交通大学出版社,2007.[12]LCordesses.DireetDigitalSynihesis:AToolforPeriodicWaveGeneration[J].IEEESignalProeessingMagazine,2004:50-54. 附录1实验原理图

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
关闭