基于fpga的fir滤波器的程序设计(8阶)

基于fpga的fir滤波器的程序设计(8阶)

ID:4423803

大小:792.50 KB

页数:27页

时间:2017-12-01

基于fpga的fir滤波器的程序设计(8阶)_第1页
基于fpga的fir滤波器的程序设计(8阶)_第2页
基于fpga的fir滤波器的程序设计(8阶)_第3页
基于fpga的fir滤波器的程序设计(8阶)_第4页
基于fpga的fir滤波器的程序设计(8阶)_第5页
资源描述:

《基于fpga的fir滤波器的程序设计(8阶)》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、基于FPGA的FIR滤波器的程序设计题目基于FPGA的FIR滤波器的程序设计基于FPGA的FIR滤波器的程序设计课程设计任务书题目基于FPGA的FIR滤波器的程序设计专业、班级学号姓名主要内容、基本要求、主要参考资料等:主要内容:要求学生使用硬件描述语言(Verilog或者VHDL)设计基于FPGA的FIR滤波器的源程序。FIR滤波器的阶数为8;FIR滤波器的类型为低通,截止频率可以自行设计;FIR滤波器的系数可借助MatlabFDAtool给出。基本要求:1、学会quartusII的使用,掌握FPGA的程序设计方法。2、学会MATLAB的使用,掌握Matl

2、abFDAtool的使用。3、掌握硬件描述语言语法。4、程序设计完成后要求在quartusII中实现功能仿真。主要参考资料:1、周润景.基于QuartusⅡ的FPGA/CPLD数字系统设计实例[M].电子工业出版社.2007,82、褚振勇.FPGA设计及应用(第三版)[M].西安电子科技大学出版社.2012,43、陈怀琛.MATLAB及在电子信息课程中的应用[M].北京:电子工业出版社.2008,1完成期限:2015.6.21—2015.6.28指导教师签名:课程负责人签名:2015年6月18日基于FPGA的FIR滤波器的程序设计基于FPGA的FIR滤波器的

3、程序设计摘要在现代通信领域中,FIR数字滤波器以其良好的线性特性被广泛使用,属于数字信号处理的基本模块之一。在实践中,往往要求对信号处理有实时性和灵活性,而已有的一些软件和硬件的实现方式则难以同时到达这两方面的要求。随着可编程逻辑器件和EDA技术的发展,使用FPGA来实现FIR滤波器,既具有实时性,又兼顾了一定的灵活性,越来越多的电子工程师采用FPGA器件来实现FIR滤波器。本设计利用MATLAB软件中MatlabFDAtool设计一个FIR低通滤波器,导出所设计滤波器的系数,再利用QuartusⅡ软件,Verilog语言编写程序。程序设计完成后在quart

4、usII中实现功能仿真。关键词MatlabFPGAFIR低通滤波器I基于FPGA的FIR滤波器的程序设计目录中文摘要I1概论21.1课题的目的和意义21.2FPGA技术的发展及应用31.3FPGA软件设计工具QuartusII42FIR数字滤波器的设计方法理论部分52.1引言52.2FIR数字滤波器的基础62.3数字滤波器的设计原理72.4Matlab直接FDAtool设计方式解析82.5FDAtool设计模板及设计结果图93FIR数字滤波器的设计方法程序分析部分133.1QuartusⅡ及VerilogHDL介绍133.2实际滤波器程序设计(8阶FIR数字

5、低通滤波器)143.2.1VerilogHDL的实现153.2.2quartusII中功能仿真194总结20参考文献21附录2224基于FPGA的FIR滤波器的程序设计1概论1.1课题的目的和意义数字滤波器是一个离散时间系统(按预定的算法,将输入离散时间信号要求的输出离散时间信号的转换为所特定功能装置)。应用数字滤波器处理模拟信号时,首先须对输入模拟信号进行限带、抽样和模数转换。数字滤波器输入信号的抽样率应大于被处理信号带宽的两倍,其频率响应具有以抽样频率为间隔的周期重复特性,且以折叠频率即1/2抽样频率点呈镜像对称。为得到模拟信号,数字滤波器处理的输出数字

6、信号须经数模转换、平滑。数字滤波器具有高精度、高可靠性、可程控改变特性或复用、便于集成等优点。数字滤波器在语言信号处理、图像信号处理、医学生物信号处理以及其他应用领域都得到了广泛应用。它涉及到的领域很广,如通信系统,系统控制,生物医学工程,机械振动,遥感遥测,地质勘探,故障检测,电力系统,航空航天,自动化仪器等。数字滤波器的好坏对相关的众多工程技术领域影响很大,一个好的数字滤波器会有效的推动众多的工程技术领域改造和学科发展。所以对数字滤波器的工作原理,硬件结构和实现方法进行研究具有一定的意义。FPGA(Field-ProgrammableGateArray)

7、,即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。FPGA采用了逻辑单元阵列LCA(LogicCellArray)这样一个概念,内部包括可配置逻辑模块CLB(ConfigurableLogicBlock)、输出输入模块IOB(InputOutputBlock)和内部连线(Interconnect)三个部分。现场可编程门阵列(FPGA)是可编程器件。与传统逻辑电路和门阵列(如PAL,GAL及C

8、PLD器件)相比,FPGA具有不同的结构,FPGA利

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。