基于FPGA的伪随机码的曼彻斯特编译码

基于FPGA的伪随机码的曼彻斯特编译码

ID:43768057

大小:699.03 KB

页数:18页

时间:2019-10-14

基于FPGA的伪随机码的曼彻斯特编译码_第1页
基于FPGA的伪随机码的曼彻斯特编译码_第2页
基于FPGA的伪随机码的曼彻斯特编译码_第3页
基于FPGA的伪随机码的曼彻斯特编译码_第4页
基于FPGA的伪随机码的曼彻斯特编译码_第5页
资源描述:

《基于FPGA的伪随机码的曼彻斯特编译码》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、基于FPGA的曼彻斯特编译码设计与实现摘要随着科学技术的进步,现代战争样式向信息战形式发展。现代战争胜负对于信息获取的依赖程度前所未有的提高。在现代战争中,若己方的通讯交流方式早敌军破获,则地方将获取己方部队动向或实施信息干扰。将会使部队陷入极其危险地境地中。因此,信息战对通讯加密手段的要求极高。伪随机序列(Pseudo-noiseSequence)又称伪噪声或伪随机码,具有类似随机信号的一些统计特性,但又是有规律的,容易产生和复制的。最大t度线性移位寄存器序列(m序列)是保密通信中非常重要的一种伪随机序列,它具有随机性、规律性及较好的自相关和互相关性,而且密钥量很大。利用m序列加密数字信号

2、,使加密后的信号在携带原始信息的同时貝有伪噪声的特点,以达到在信号传输的过程中隐藏信息的目的;在信号接收端,再次利用m序列加以解密,恢复出原始信号。这样,通过对m序列的应用,将大大的提高通讯的保密程度和防窃取能力。这样的通讯手段被称为扩展频谱通信关键词:伪随机码;曼彻斯特编译码;FPGA1.前言曼彻斯特码(Manchestercode),乂称裂相码,双向码,是一种自同步的编码方式,即时钟同步信号隐藏在数据波形中,亦即在传输代码信息的同时,也将时钟同步信号一起传输到对方。另外,曼彻斯特码毎位编码中有一跳变,不存在直流分量。因此该码具有口同步能力和良好的抗干扰性能。曼彻斯特编码(Manchest

3、erEncoding),也叫做相位编码(PE),是一个同步时钟编码技术,被物理层使用来编码一个同步位流的时钟和数据。曼彻斯特编码被用在以太网媒介系统中。曼彻斯特编码提供一个简单的方式给编码简单的二进制序列而没有长的周期和转换级别,因而防止时钟同步的丢失,或来白低频率位移在贫乏补偿的模拟链接位错误。FPGA采用了逻辑单元阵列LCA(LogicCel1Array)这样一个概念,内部包括可配置逻辑模块CLB(ConfigurableLogicBlock)>输入输出模块IOB(InputOutputBlock)和内部连线(Interconnect)三个部分。现场可编程门阵列(FPGA)是可编程器件,

4、与传统逻辑电路和门阵列(如PAL,GAL及CPLD器件)相比,FPGA具有不同的结构。FPGA利用小型查找表(16X1RAM)来实现组合逻辑,每个查找表连接到一个D触发器的输入端,触发器再来驱动其他逻辑电路或驱动I/O,由此构成了既可实现组合逻辑功能又可实现时序逻辑功能的基木逻辑单元模块,这些模块间利用金属连线互相连接或连接到I/O模块。FPGA的逻辑是通过向内部静态存储单元加载编程数据来实现的,存储在存储器单元中的值决定了逻辑单元的逻辑功能以及各模块之间或模块与I/O间的联接方式,并最终决定了FPGA所能实现的功能,FPGA允许无限次的编程。2.系统设计理论分析本次课程设计是基于FPGA曼

5、彻斯特码编码器的软件设计和实现。技术上采用FPGA作为硬件平台,为了使FPGA器件能顺利完成曼彻斯特码的编码任务,它应该包括这样几个部分:1.伪随机码产生器2.曼彻斯特编码器3.曼彻斯特译码器4.四分频器QuartusU借助EDA工具屮的编译器、综合器、适配器、时序仿真器和编程器等工具进行相应的处理,才能使已完成的设计在FPGA上进行硕件实现并得到测试结果。EDA工具冇多种途径完成目标系统的输入,如HDL文本输入方式、原理图输入方式、状态图输入方式以及混合输入方式等。相对而言,HDL文本输入方式是最基本也是最直接的输入方式。将通过实例具体介绍基于QuartusII的VHDL文本输入流程,包插

6、设计的输入、综合、适配、仿真测试和编程下载等。2.方案论证及选择3.1方案一实现上述四个模块可以用Quartus软件中的原理图编辑功能进行各个模块的设计,然后进行元件封装进行连接实现系统整体设计。但是由于Quartus软件所提供的基本元件有限不能满足本次课程设计要求。故不采用原理图方案。3.2方案二由VHDL硬件描述语言的强大功能可以运用VHDL语言对各个模块的功能进行功能描述,使得各个模块能够实现各口的了功能。与原理图编辑类似,将用VHDL语言描述的各个子模块进行兀件封装以便用例化语句进行调用。此方案可以减少原理图设计时的线路连接的繁琐工作,可以方便的进行功能的改变和错误检查。故木次设计选

7、择VHDL语言进行功能描述完成设计要求。4.系统模块设计与分析4.1伪随机序列模块由实验要求需要产生的伪随机序列满足特征方程:f=l+xJ+x*+xr+x'8。由特征方程的表达式可知线性反馈移位寄存器的抽头位置分别在cO,c5,c90并且c5和c9进行异或运算作为输入输入给D8o根据功能要求用VHDL语言进行描述。在进行软件设计时可以通过使能端控制对c0-c8进行初始设置,并且在时钟控制下按照程序设置运行程序

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。