七人表决器的设计

七人表决器的设计

ID:43054925

大小:264.00 KB

页数:6页

时间:2019-09-26

七人表决器的设计_第1页
七人表决器的设计_第2页
七人表决器的设计_第3页
七人表决器的设计_第4页
七人表决器的设计_第5页
资源描述:

《七人表决器的设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、硬件课程设计课题名称:七人多数表决电路的设计七人多数表决电路的设计课题:题FI:七人多数表决电路的设计要求:用VHDL文本输入法设计一个7人多数表决电路难度:10分摘要:所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。七人表决器顾名思义就是由七个人来投票,当同意的票数大于或者等于4人时,则认为同意;反之,当否决的票数大于或者等于4人时,则认为不同意。实验中用7个拨挡开关来表示七个人,当对应的拨挡开关输入为T'时,表示此人同意;否则若拨挡开关输入为'0,时,则表示此人反对。表决的结果用一个LED灯

2、表示,若表决的结果为同意,则LED灯亮;否则,如果表决的结果为反对,则LED不会亮。关键词:七人,多数,表决器,全加器,半加器。设计要求(1)根据设计要求,绘制出电路状态转换图,实现七人四票制表决。(2)根据电路状态转换图,用门电路设计出七人表决器。(3)运用半加器,全加器,实现表决器的设计。(4)逻辑设计要求:用七个开关作为表决器的七个输入变量,逻辑“1”吋表示“赞同”,逻辑“0”时表示“不赞同”,用发光二极管作为输出指令,输出逻辑“1”表示“通过”;输岀逻辑“0”时表示“不通过”。当表决器的七个输入变量中的4个以上(包含4个)为“1”时,则表决器输出为“1”;否则

3、为“0”。设计步骤:表决结果与多数人意见相同。设A1,A1,A2,A3,A4,A5,A6,A7为七个人(输入逻辑变量),赞成为1,不赞成为0;green和red为表决结果(输出逻辑变量),多数赞成green为1,red为0,否则,green为0,red为1,・其真值表如表(1)所示。(1)电路状态转换图:输入输出A1A2A3A4A5A6A7GreenRed1111XXX10111XXX11011XXX11101XXX11110XXX1111101X1X1X110X11X1X110111X1XX10111XX1X101X111XX100000XXX01000XXX001

4、00XXX00010XXX00001XXX0000010X0X0X001X00X0X001000X0XX01000XX0X010X000XX01表(1)从真值表发现,A1A2A3A4A5A6A7中有四个或四个以上表示赞同则绿灯亮,否则红灯亮。根据电路状态转换图设计电路,用一个半加器实现设计一个全加器,运用4个全加器实现七人表决器的设计。(2)半加器电路设计:422・a?(3)全加器电路设计:>coa尺7Ty严…二>soH..ADDERASOA_ABCOH..ADDERASOCABCOCOUT卞3、3FTDsum(4)七人表决器电路设计:FulladdfulladdO卿

5、AOfwr<:::AA0MOA5fulladdfulladd逻辑电路图:投票人通过输入信号灯的开关,输入表决内容,通过green和red两个灯表示出表示结果,如下图所示:实验结果通过inuxplus2对七人表决器进行设计,完成实验要求,得到实验结果,实现了七人表决的效果,4人或4人以上通过则亮绿灯,否则亮红灯。试验成功。程序的应用:将程序语句写入到PLC中,再进行接线:用七个开关分别控制Al、A2,A3,A4,A5,A6,A7用一盏指示灯来显示表决结果,并将C0M1连接到24V直流电源的正极。接线完毕就可以进行演示实验的操作了。如果赞成,则合上开关;如果不赞成,则断开

6、开关。指示灯的亮灭,显示的是表决的结果。灯亮表示多数赞成,灯不亮,则表示多数不赞成。表决结果与多数人意见相同。参考文献:①数字逻辑王玉龙编高等教育出版社②新编数字逻辑电路习题实验与实训汪国强编著北京邮电大学出版社③数字逻辑电路实验作者侯传教、杨智皱等电子工业出版社

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。