EDA程序设计

EDA程序设计

ID:42602974

大小:159.43 KB

页数:12页

时间:2019-09-18

EDA程序设计_第1页
EDA程序设计_第2页
EDA程序设计_第3页
EDA程序设计_第4页
EDA程序设计_第5页
资源描述:

《EDA程序设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA课程设计学院:电子信息科学与技术班级:11级电信本(一)班姓名:王后影学号:110914033辅导教师:肖开选基于LMP的流水线乘法累加器设计一、设计目的乘法累加器常在全硬件的数字信号处理的不同算法中用到。本节通过一个8位流水线乘法累加器的实例介绍以顶层原理图为工程,VHDL文本描述和宏功能块为原理图元件的输入和设计方法。二、设计原理本设计通过调用LPM加法器模块以及LPM乘法器模块构建一个乘法累加器,另外,本文还给出LPM库的乘法累加器模块ALTMULT_ADD,进过参数设置,能实现同上功能。三、symbol图产生的symb

2、ol见附录。四、设计结果及分析1、8位乘法累加器顶层设计图图(一)顶层设计图2、时序仿真波形图图(二)工程仿真波形图由上述波形可知:在clk的第四个上升沿后才得到第一个计算数据,之前都是0,这就是流水线结构的计算结果。第四个上升沿得到结果为,而第五个上升沿后得到结果为,第六个上升沿后得到的结果为。3、LPM库的乘法累加器模块图图(三)ALTMULT_ADD模块图五、附录1、ADD16B产生的symbol2、MULT8B产生的symbol3、FF8B产生的symbolVHDL有限状态机设计一、设计目的1、进一步熟悉和掌握Quartus

3、软件的各模块功能的使用方法。2、加深对VHDL语言的了解,熟悉VHDL语言的语法特点,深刻了解Quartus软件仿真中出现的各种问题并能加以解决。3、学习使用和查看状态转换图。二、设计原理本设计说明部分中使用type语句定义新的数据类型。状态变量(如现态和次态)应定义为信号,便于信息传递,并将状态变量的数据类型定义为含有既定状态元素的新定义的数据类型。其中新定义的数据类型名是FSM_ST,其元素类型分别为s0,s1,s2,s3,s4,使其恰好表述状态机的五个状态。在此设计模块说明部分,定义了五个文字参数符号,代表五个状态。对于此程序

4、,如果异步清零信号reset有过一个复位脉冲,当前状态即可被异步设置为S0;与此同时,启动组合进程,“执行”条件分支语句。三、设计程序设计程序见附录。四、设计结果及分析1、生成symbol图图(一)symbol图2、时序波形图通过分析波形,进一步了解状态机的工作特性。需要注意,reset信号是低电平有效的,而clk是上升沿有效的,所以reset有效脉冲后的第一个时钟脉冲是第二个clk脉冲,第三个脉冲的上升沿后,现态c_st即进入状态S1.同时输出8,即“1000”。图(二)仿真时序波形图3、状态图图(三)波形图五、附录设计程序:li

5、braryieee;useieee.std_logic_1164.all;entityfsm_expisport(clk,reset:instd_logic;state_inputs:instd_logic_vector(0to1);comb_outputs:outintegerrange0to15);endfsm_exp;architecturebehavoffsm_expistypefsm_stis(s0,s1,s2,s3,s4);signalc_st,next_state:fsm_st;beginreg:process(res

6、et,clk)beginifreset='0'thenc_st<=s0;elsifclk='1'andclk'eventthenc_st<=next_state;endif;endprocessreg;com:process(c_st,state_inputs)begincasec_stiswhens0=>comb_outputs<=5;ifstate_inputs="00"thennext_state<=s0;elsenext_state<=s1;endif;whens1=>comb_outputs<=8;ifstate_inpu

7、ts="01"thennext_state<=s1;elsenext_state<=s2;endif;whens2=>comb_outputs<=12;ifstate_inputs="10"thennext_state<=s0;elsenext_state<=s3;endif;whens3=>comb_outputs<=14;ifstate_inputs="11"thennext_state<=s3;elsenext_state<=s4;endif;whens4=>comb_outputs<=9;next_state<=s0;whe

8、nothers=>next_state<=s0;endcase;endprocesscom;endbehav;多进程结构的Moore型机一、设计目的1、进一步熟悉和掌握Quartus软件的各模块功能的使用方法。2、加深对VHDL语言的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。