uvm实战-学习笔记

uvm实战-学习笔记

ID:42539896

大小:799.87 KB

页数:31页

时间:2019-09-17

uvm实战-学习笔记_第1页
uvm实战-学习笔记_第2页
uvm实战-学习笔记_第3页
uvm实战-学习笔记_第4页
uvm实战-学习笔记_第5页
资源描述:

《uvm实战-学习笔记》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、《UVM实战(卷1)》学习笔记看了第1/2/3/4/5/6/8/9.1这几个章节。第一章是综述,第二章是一个具体的例子,学习笔记从第三章相关内容开始。我个人觉得UVM重要的部分(特点的部分):1)factory机制(overrideconfig_db)2)TLM传递3)phase机制4)sequence-sequencer以及virtualseq/sqr内容中的截图基本来自于UVM源代码、书自带的例子和《uvm1.1应用指南及源代码分析》这个PDF里的。需要结合书(《UVM实战(卷1)》第1版)来看这个笔记。第3章UVM基础3.1uvm_component和uv

2、m_object常用的类名字:这个图是从作者张强的《uvm1.1应用指南及源代码分析》里截得,不如书上3.1.1里的图好。uvm_sequencer也是代码里必须有的,所以我加了uvm_sequenceruvm_void是一个空的虚类。在src/base/uvm_misc.svh中定义:红框的是我们搭testbench的时候用的比较多的基类。常用的uvm_object派生类:sequencer给driver的transaction要派生自uvm_sequence_item,不要派生自uvm_transaction所有的sequence要派生自uvm_sequen

3、ce或者uvm_sequence的派生类,可以理解为sequence是sequence_item的组合(集合)。driver向sequencer索要item,sequencer检查是否有sequence要发送item,当发现有item待发送时,就把这个item发给driver.常用的uvm_component派生类:所有的driver要派生自uvm_driver.driver用来把sequence_item中的信息驱动到DUT端口上,从transaction-level向signal-level的转换。uvm_driver需要参数(REQRSP),比uvm_co

4、mponent增加了几个成员。重要的是seq_item_port和req/rsp.(src/comps/uvm_driver.svh)monitor/scoreboard派生自uvm_monitor和uvm_scoreboard,但是uvm_monitor和uvm_scoreboard并没有在uvm_component基础上做扩展。src/comps/uvm_monitor.svhsequencer要派生自uvm_sequencer.sequencer做了很多扩展,但是如果我们自己写的sequencer里没有增加成员的话,可以直接写如下代码:typedefuvm

5、_sequencer#(传递的sequence_item类名)sequencer类名;因为sequencer在agent中例化,所以一般写在agent类文件里。reference_model派生自uvm_component.agent要派生自uvm_agent.uvm_agent里多了一个is_active的成员。一般根据这个active来决定是否实例化driver和sequencer.is_active变量的数值需要在env的build_phase里设置完成(可以直接设置,也可以用uvm_config_db#(int)::set)。env要派生自uvm_env

6、.uvm_env没有对uvm_component扩展。src/comps/uvm_env.svh所有的test都要派生自uvm_test或者它的派生类。uvm_test也没扩展src/comps/uvm_test.svhuvm_object和uvm_component的macromacro非常重要,事关把这些类的对象注册到factory机制中去。uvm_objectmacro1)对于uvm_sequence_item就统一用(假设不用parameter):`uvm_object_utils_begin(item类名)….field_automation…`uvm

7、_object_utils_end2)对于uvm_sequence,要加上`uvm_object_utils(sequence类名)可能还需要`uvm_declare_p_sequencer(sequencer类名)的声明uvm_componentmacro对于drivermonitorreference_modelscoreboardsequencercaseagentenv这些uvm_component派生类都要加上:`uvm_component_utils(类名)uvm_component里的成员也可以像uvm_object里成员一样,用field_aut

8、omation机制。fi

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。