基于vhdl数字秒表

基于vhdl数字秒表

ID:41695648

大小:652.61 KB

页数:12页

时间:2019-08-30

基于vhdl数字秒表_第1页
基于vhdl数字秒表_第2页
基于vhdl数字秒表_第3页
基于vhdl数字秒表_第4页
基于vhdl数字秒表_第5页
资源描述:

《基于vhdl数字秒表》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、基于VHDL语言的数字秒表实现1设计方案1.1系统功能要求设计一块数字秒表,能够精确反映计时时间,并完成复位、计时功能。秒表计时的最大范围为1小时,精度为0.01秒,并可显示计时时间的分、秒、0.1秒等度量。(1)具有秒表系统功能要求显示功能,用6个数码管分别显示分、秒、0.01秒;计时范围为00:00:00~59:59:99。(2)计时精度是0.01s;(3)具有启/停开关,复位开关。1.2总体框图根据系统设计要求,系统的底层设计主耍由六十进制计数器模块、二十四进制计数器模块、分频模块、LED显示模块组成。系统顶层设计图如图

2、所示::::::血-faxxutDMs>谨S3・%•••••••••aIt:8W?・CUCUC.OUT••ibffbrvu♦6护q钿冲qckno••n•53jagvr・u>»ayq图中左边为三个输入信号en,elk,reset;分为启/停开关,时钟信号和复位开关。主要模块有:模60计数器(count60),模100计数器(countlOO),分频器(clk_div),复位控制(control),译码器(yima),防抖模块(fdou),状态变换模块(change)o右边是六个LED显示输出信号。1模块功能设计山模60计数器模块

3、与模100计数器模块进行计数;实验室仪器可产牛标准的1kHz的时钟信号,通过分频器模块产生所需的100Hz的时钟信号;复位模块可进行计数器复位操作;译码器是为了将四位二进制信号转换为LED所需的七位二进制编码;防抖模块用于消除用户按键时的抖动消除,为方便仿真,此处原始时钟信号3周期以上可产生信号;状态变换模块是为了用户按键后信号的变换及保持。1.1模60计数器:counteo!1enResetqb(3・・O]hkIdkroonki參instW〃“〃“〃w〃〃“〃/〃〃/®乡rentes™…-…-•“-…-…:茫该模块部分UHD

4、L源程序如下:LIBRARYIEEE;USEIEEE.STDLOGIC1164.ALL;USEIEEE.STD_LOGTC_UNSTGNED.ALL;ENTITYcount60ISPORT(en,Reset,elk:inSTD_LOGIC;qa:outSTD_LOGIC_VECTOR(3DOWNTO0);qb:outSTDLOGICVECTOR(3DOWNTO0);rco:OUTSTDLOGIC);ENDcount60;ARCHITECTUREaOFcount60ISBEGINprocess(elk)variabletma:S

5、TD_LOGTC_VECTOR(3DOWNTO0);variabletmb:STD_L0GIC_VECT0R(3DOWNTO0);beginIfReset='O'thontma:二"0000";tmb:=〃0000";elsifelk'eventandelk二'1’thenifen=,rthenrco<=tmb(2)andtmb(0)andtma(3)andtma(0);iftma=//l00rzthentma:二"0000〃;iftmb二〃0101"thentmb:二〃0000〃;elsetmb:=tmb+l;endif;e

6、lsetma:=tma+l;endif;endif;endif;qa<=tma;qb<=tmb;endprocess;ENDa;1.2模100计数器该模块部分VHDL源程序如卜•:LIBRARYIEEE;USEIEEE.STDLOGIC1164.ALL;USEIEEE.STD_LOGTC_UNSTGNED.ALL;ENTITYcountlOOISPORT(en,Reset,elk:qa:inSTD_LOGIC;outSTD_L0GIC_VECT0R(3DOWNTO0);qb:outSTDLOGICVECTOR(3DOWNTO0

7、);rco:OUTSTD_LOGTC);ENDcountlOO;ARCHITECTUREaOFcountlOOISBEGINprocess(elk)variabletma:STD_L0GTC_VECT0R(3DOWNTO0);variabletmb:STD_LOG1C_VECTOR(3DOWNTO0);beginIfReset='O'thentma:="0000";tmb:二"0000";elsifelk'eventandelk二'1’thenifen」rthenrco<=tmb(3)andtmb(0)andtma(3)and

8、tma(0):iftma=,/100r,thentma:=/,0000,/;iftmb="1001"thentmb:二"0000";elsetmb:=tmb+l;endif;elsetma:=tma+l;endif;endif;endif;qa<=tma;qbUtmb;end

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。