实验一QuartusⅡ文本输入法设计组合逻辑电路

实验一QuartusⅡ文本输入法设计组合逻辑电路

ID:41693801

大小:453.77 KB

页数:26页

时间:2019-08-30

实验一QuartusⅡ文本输入法设计组合逻辑电路_第1页
实验一QuartusⅡ文本输入法设计组合逻辑电路_第2页
实验一QuartusⅡ文本输入法设计组合逻辑电路_第3页
实验一QuartusⅡ文本输入法设计组合逻辑电路_第4页
实验一QuartusⅡ文本输入法设计组合逻辑电路_第5页
资源描述:

《实验一QuartusⅡ文本输入法设计组合逻辑电路》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实验一QuartusIISC本输入法设计组合逻辑电路(1)实验目的:熟悉QuartusII的VHDL文本设计流程全过程,学习简单组合逻辑电路的设计、仿真和硕件测试。(2)实验内容:I•利用QuartusII完成2选1多路选择器的文本编辑输入、编译和仿真测试,给出仿真波形分析说明。【参考程序】:ENTITYmux21aISPORT(a,b,s:INBIT;y:OUTBIT);ENDENTTTYmux21a;ARCHITECTUREoneOFmux21aISBEGINPROCESS(a,b,s)BEGINIFs='O'THENy<=a;ELSEy<=b;ENDIF;ENDPROCES

2、S;ENDARCHITECTUREone;II•对实验内容I的电路进行引脚锁定及硬件下载测试。建议选择实验电路模式5(参考附图2-7),用键1(PI00,引脚号为1)控制s;a和b分别接clk5(引脚号为16)和clkO(引脚号为93);输出信号y接扬声器spker(引脚号为129)。在实验箱通过短路帽选择clock5接1024IIz信号,clockO接256IIz信号。最后进行编译、下载和硬件测试实验(通过键1控制s,可使扬声器输出不同音调)。(3)实验报告:1.实验I的仿真波形报告分析说明。1.实验II硬件测试的详细实验说明。实验二QuartusIISC本输入法设计时序逻辑电

3、路(1)实验目的:熟悉QuartusII的VHDL文本设计过程,学习简单时序逻辑电路的设计、仿真和硕件测试。(2)实验内容:I•用VHDL设计一个带异步复位和同步吋钟使能的D触发器,并利用QuartusII进行编辑输入、编译、仿真及硬件测试。建议使用实验电路模式5。II・用VHDL设计一个带异步清零的8位锁存器,并利用QuartusII进行编辑输入、编译、仿真及硕件测试。建议使用实验电路模式1,P107-P100接输入信号(键1、键2控制输入),PI039-PI032接输出信号,PI048C键7)接清零信号,PI049(键8)接锁存信号。(3)实验报告:1.给岀实验I的设计程序、

4、仿真波形报告的分析说明及硕件测试的结果。2.给出实验II的设计程序、仿真波形报告的分析说明及硕件测试的结果。3.分析比较两个实验的仿真和硬件测试结果,说明这两种电路的异同点。(1)实验目的:熟悉使用QuartusII的原理图输入方法设计简单组合逻辑电路,掌握层次化原理图设计的方法。(2)实验原理:一个1位全加器可以曲两个半加器和一个或门构成,其电路原理图如下:1iins(2Ilinstli图3-1半加器原理图gadder图3-2全加器原理图表3-1半加器真值表absoco0000011010101101(1)实验内容I・完成半加器的设计,包括原理图输入、编译、仿真,并将此半加器电

5、路设置成一个硬件符号入库。II.建立-个更高层次的原理图文件,利用以上获得的半加器构成1位全加器,并完成编译、仿真及硕件测试。建议选择电路模式5,键1、键2、键3(P100/1/2)分别接ain、bin、cin,发光管D2、DI(PI09/8)分别接sum和couto(2)实验报告1.给出实验II的仿真波形报告分析。2.给出实验II的硕件测试过程说明和结果分析。实验四7段数码显示译码器设计(1)实验目的:学习7段数码显示译码器的设计;学习VHDL的CASE语句应用。(2)实验原理:7段数码显示译码器是纯组合逻辑电路,通常的小规模专用1C,如74或4000系列的器件只能作十进制BC

6、D码译码,然而数字系统中的数据处理和运算都是二进制的,所以输出表达都是十六进制的,为了满足十六进制数的译码显示,最方便的方法就是利用译码程序在FPGA/CPLD屮来实现。图4-1是共阴七段数码管,译码器的输出信号的7位分别接数码管的7个段,高位在左,低位在右。例如当输出信号为“1101101”时,数码管的7个段:g、f、e、d、c、b、Q分别接1、1、0、1、1、0、1;接有高电平的段发光,于是数码管显示“5”。注意,这里没冇考虑表示小数点的发光管,如果要考虑,需要增加段h,程序中的输出端应改为8位的标准逻辑位欠量。图4-1共阴数码管及其电路(3)实验内容:I•用VHDL屮的CA

7、SE语句设计一个显示十六进制数的7段数码显示译码器,输入是从“0000”〜“1111”16个4位二进制数,数码管显示的是从'(T〜E16个字符。在QuartusII上进行编辑、编译及仿真,给出其所有信号的时序仿真波形。【部分参考程序】PROCESS(A)BEGINCASEATSWHEN〃0000〃=>LED7S<="Ollllll•••WHENOTHERS=>NULL;ENDCASE;ENDPROCESS;END;提示:仿真时可用输入总线的方式给出输入信号仿真数据,仿真波形示例图

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。