EDA技术与数字系统设计第4章VHDL语言基础

EDA技术与数字系统设计第4章VHDL语言基础

ID:41200670

大小:717.00 KB

页数:265页

时间:2019-08-18

EDA技术与数字系统设计第4章VHDL语言基础_第1页
EDA技术与数字系统设计第4章VHDL语言基础_第2页
EDA技术与数字系统设计第4章VHDL语言基础_第3页
EDA技术与数字系统设计第4章VHDL语言基础_第4页
EDA技术与数字系统设计第4章VHDL语言基础_第5页
资源描述:

《EDA技术与数字系统设计第4章VHDL语言基础》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第4章VHDL语言基础4.1VHDL概述4.2VHDL的基本结构4.3VHDL的数据及文字规则4.4VHDL的操作符(Operator)4.5VHDL的顺序语句(SequentialStatement)4.6VHDL的并行语句(ConcurrentStatement)4.1VHDL概述4.1.1VHDL语言的起源VHDL语言起源于1983年,1986年IEEE标准化组织开始工作,讨论VHDL语言标准。1987年12月IEEE接受VHDL为标准HDL,这就是IEEEStd.l076-1987(LRM87)。1993年IEEE对VHDL重新修订,增加了

2、一些功能,公布了新的标准版本IEEEStd.1076-1993(LRM93)。4.1.2VHDL语言的特点VHDL主要用于描述设计复杂数字系统的结构、行为、功能和接口。它在进行工程设计方面有很多优点:(1)与其它的硬加描述语言相比,VHDL语言描述能力更强,从而决定了它成为系统设计领域最佳的硬件描述语言。(2)VHDL语言技术完备,具有丰富的仿真语句和库函数,而且还支持同步电路、异步电路和其它电路的设计。(3)VHDL语言方法灵活,对设计的描述具有相对独立性。设计者可以不懂硬件结构,可以不管最终设计实现的目标器件,而进行独立的设计。(4)VHDL语

3、言支持广泛,目前大多数EDA工具几乎在不同程度上都支持VHDL语言。4.2VHDL的基本结构一个完整的VHDL程序包含实体(ENTITY)、结构体(ARCHITECTURE)、库(LIBRARY)、程序包(PACKAGE)和配置(CONFIGURATION)五个部分。下面以一个实例来说明:例4.1是一个4选1多路选择器的VHDL语言描述,我们通过这个程序可以归纳出VHDL程序的基本模块结构。【例4.1】LIBRARYIEEE;--库使用说明USEIEEE.STD_LOGIC_1164.ALL;ENTITYmux41IS--实体说明PORT(a,b,

4、c,d:INSTD_LOGIC;--输入端口说明sel:INSTD_LOGIC_VECTOR(1DOWNTO0);p:OUTSTD_LOGIC);--输出端口说明ENDENTITYmux41;ARCHITECTUREchoiceOFmux41IS    --结构体说明SIGNALable:STD_LOGIC_VECTOR(1DOWNTO0);BEGINable<=sel;PROCESS(able)--进程BEGINCASEableISWHEN"00"=>p<=a;WHEN"01"=>p<=b;WHEN"10"=>p<=c;WHEN"11"=>p<=

5、d;WHENOTHERS=>NULL;ENDCASE;ENDPROCESS;ENDchoice;图4.1VHDL程序的基本结构示意图从例4.1的描述可以看出,一个最基本的VHDL程序由三部分组成:库说明(LIBRARY)、实体说明(ENTITY)和结构体说明(ARCHITECTURE),其它的结构层次可根据需要选用。一个程序只能有一个实体,但可以有多个结构体。实际上,一个相对完整的程序有比较固定的结构,如图4.1所示。首先是库和程序包说明;然后是实体描述,在实体中又包含一个或多个结构体,并且在每一个结构体中可以包含一个或一个以上的进程和其它语句结构

6、(块和进程语句将在4.6节中详细讲解);最后是配置说明。4.2.1实体(ENTITY)实体是VHDL程序的一个基本设计单元,它可以单独编译,并且可以并入设计库。其功能是对设计实体与外部电路进行接口描述,例如它可以对一个门电路、一个芯片、一块电路板乃至整个系统进行接口描述。1.实体结构根据IEEE标准,一个基本单元实体结构定义如下:ENTITY实体名IS[GENERIC(类属表);][PORT(端口表);]实体说明部分;[BEGIN实体语句部分;]END[ENTITY]实体名;2.类属(GENERIC)说明类属参量常以一种说明的形式放在实体或块结构体

7、前的说明部分。类属为实体和外部环境通信提供一种静态信息通道,尤其是用来规定实体端口的大小、设计实体的物理特性和结构体中的总线宽度等等。类属与常数不同,常数只能从设计实体内部得到赋值,并且不能再改变,而类属的值可以由设计实体外部提供。类属说明的一般格式如下:GENERIC([constant]常数名:数据类型[:=设定值];[constant]常数名:数据类型[:=设定值];…);3.端口说明端口(PORT)说明语句是对一个设计实体界面的说明。其端口表部分对设计实体与外部电路的接口通道进行了说明,其中包括对每一接口的输入输出模式(MODE)和数据类型

8、(TYPE)进行了定义。实体端口说明的一般书写格式如下:PORT([SIGNAL]端口名:模式数据类型;[SIGNAL]端

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。