基于FPGA的DDS正弦信号发生器的设计和实现

基于FPGA的DDS正弦信号发生器的设计和实现

ID:40917969

大小:223.62 KB

页数:5页

时间:2019-08-10

基于FPGA的DDS正弦信号发生器的设计和实现_第1页
基于FPGA的DDS正弦信号发生器的设计和实现_第2页
基于FPGA的DDS正弦信号发生器的设计和实现_第3页
基于FPGA的DDS正弦信号发生器的设计和实现_第4页
基于FPGA的DDS正弦信号发生器的设计和实现_第5页
资源描述:

《基于FPGA的DDS正弦信号发生器的设计和实现》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第28卷第3期电子器件Vol.28No.32005年9月ChineseJournalofElectronDevicesSep.2005DesignandImplementatiOnOfDirectDigitalFreguencySynthesisSineWaveGeneratOrBasedOnFPGAYUYong,ZHENGxzco-zn(CoegeofBzoengznee1zng,ChonggzngUnze1z,Chonggzng400044,Chznc)Abstract,BasedonFPGAandD/Achip,asineWavegenerator

2、thatfreGuencyandphaseiscontrollableisdesignedWithdirectdigitalfreGuencysynthesis(DDS)technology.TheprincipleandstructureofDDSiseXpounded,andalsothedesignthinkingandimplementationmethod.TheoutputWavebytestachievesthereGuiredaims,easycontrolandhighperformence.Itisprovedthatthedesig

3、nbasedonFPGAWithDDSisdependableandfeasible.eyOrds,directdigitalfreGuencysynthesis(DDS)fieldprogramablegatearray(FPGA)sineavegeneratorA,0B基于FPGA的DDS正弦信号发生器的设计和实现余勇,郑小林(重庆大学生物工程学院,重庆市400044)摘要,利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计实现了一个频率相位可控的正弦信号发生器,同时阐述了直接数字频率合成(DDS)技术的工作原理电路结构,及设计的思路和实

4、现方法O经过设计和电路测试,输出波形达到了技术要求,控制灵活性能较好,也证明了基于FPGA的DDS设计的可靠性和可行性O关键词,直接数字频率合成(DDS)现场可编程门阵列(FPGA)正弦波信号发生器中图分类号,TN402文献标识码,A文章编号,005-9490(2005)0-0596-04在电子通信等领域,高精度高分辨率宽频率现某些专用数字集成电路得到了大家的关注,而基范围的信号源有着广泛的应用,一般的信号源设计于FPGA实现的直接数字频率合成器则更具其优都采用频率合成技术,传统上采用锁相环(PLL)电点,有着灵活的接口和控制方式较短的转换时间路进行设计

5、,随着直接数字频率合成(DDS)技术的较宽的带宽以及相位连续变化和频率分辨率较高发展,很多芯片公司都开发出了自己的DDS专用集等优点,其也为设计者在此基础之上实现电路集成成芯片,同D/A转换器和低通滤波器(LPF)一起便提供了另一种方法O可以组成任意波形信号的发生器[-2JO直接数字频率合成(DDS)工作原理近年来现场可编程门阵列(FPGA)技术得到了迅速的发展和广泛的应用,其资源容量工作频率以直接数字频率合成(DirectDigitalFreGuency及集成度都得到了极大的提高,使得利用FPGA实收稿日期,2005-03-09作者简介,余勇(98-)

6、,女,在读硕士研究生,主要研究方向是医疗电子技术及ES在生物医学中的应用,sarah-yu26.com第3期余勇,郑小林等,基于FPGA的DDS正弦信号发生器的设计和实现597Synthesis,即DDFS,一般简称DDS)是从相位概念出发直接合成所需要波形的一种新的频率合成技术[6]O以正弦波信号发生器为例,利用DDS技术可以根据要求产生不同频率的正弦波,而且可以控制其初始相位和信号幅度,同样也可以利用DDS技术产生任意的波形O其原理如图1所示O图2波形产生过程周期O图1基于FPGA的DDS正弦信号发生器原理图2DDS正弦波发生器的设计思路DDS电路一

7、般包括系统时钟,相位累加器,相位调制器,ROM查找表,D/A转换器和低通滤波器首先要按照一定的采样点数将正弦波形一个周(LPF)O输入的频率控制字(X)称为相位步进量,作期的数据信息存于ROM表中,表中包含着一个周为相位累加器的增量;输入的相位控制字通过相位期正弦波的数字幅度信息,每个地址对应正弦波形调制器来设置正弦波的初始相位;系统时钟则对相中0~360范围内的一个相位点的幅度值,查找表位累加器,相位调制器和D/A转换器提供时序控时即是把输入的地址相位信息映射成正弦波幅度的制[4-5]O数字量信号,以驱动D/A转换电路O采样值可以通相位累加器由N位全加

8、器和N位累加寄存器过C语言实现O级联而成,对频率控制字的2进制码进行累加运算,i

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。