微型计算机原理与接口技术何超 第二章微处理器第2节

微型计算机原理与接口技术何超 第二章微处理器第2节

ID:40245816

大小:1.25 MB

页数:55页

时间:2019-07-28

微型计算机原理与接口技术何超 第二章微处理器第2节_第1页
微型计算机原理与接口技术何超 第二章微处理器第2节_第2页
微型计算机原理与接口技术何超 第二章微处理器第2节_第3页
微型计算机原理与接口技术何超 第二章微处理器第2节_第4页
微型计算机原理与接口技术何超 第二章微处理器第2节_第5页
资源描述:

《微型计算机原理与接口技术何超 第二章微处理器第2节》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第2章微处理器2.28086/8088微处理器为什么要讲解8086/8088微处理器, 因为它最简单,便于说明CPU的工作原理8086微处理器是Intel系列的16位微处理器,它是采用具有高速运算功能的HMOS工艺制造的集成电路,内部包含约29000个半导体管。8086有16根数据线和20根地址线。16根数据线表明8086微处理器可以处理16位二进制数据;这些数据都要保存在存储器中。要寻找数据必须知道某数据在存储器中的地址。20根地址线表明可用20位二进制数码编写地址,地址也要存储在存储器中。存储器以字节(8位二进制数据)为单位组织

2、存储,所以可寻址的地址空间达220,即1M字节的数量级。8086工作时,只需要一个5V电源,时钟频率为5MHz。后来,Intel公司推出的8086-1型微处理器时钟频率高达10MHz。几乎在推出8086微处理器的同时,Intel公司还推出了一种准16位微处理器8088。推出8088的主要目的是为了与当时已有的一整套Intel外围设备接口芯片(数据总线只有8条)直接兼容。8088的内部寄存器、内部运算部件以及内部操作都是按16位设计的,但对外的数据总线只有8条。这两种微处理器除了数据总线宽度不同外,其他方面几乎完全相同。8088时钟频

3、率为4.77MHz<8086的5MHz。8086/8088的另一个突出特点是其多重处理的能力,它们都能极方便地和数值数据处理器(NPX)8087,输入输出I/O处理器(IOP)8089或其他处理器组成多处理器系统,从而大幅度提高系统数据吞吐能力和数据处理能力。CPU的编程结构要掌握一个CPU的工作性能和使用方法,首先应该了解它的编程结构。所谓编程结构,就是指从程序员和使用者的角度看到的结构。当然,这种结构与CPU内部的物理结构和实际布局是有区别的。2.2.18086的编程结构8086分为两部分,即总线接口部件BIU(BusInter

4、faceUnit)和执行部件EU(ExecutionUnit)。右图为8086的编程结构图队列总线数据总线代码段数据段堆栈段扩展段指令指针数据总线堆栈指针基址指针源变址目的指针地址总线6字节1.总线接口部件(BIU)总线接口部件的功能是负责与存储器、I/O端口传送数据。CPU执行指令时,总线接口部件要配合执行部件从指定的内存单元或者外设端口中取数据或指令,将数据先放入“指令队列”排队,当需要时,再由执行部件EU从中取出;或者把执行部件EU的操作结果传送到指定的内存单元或外设端口中。总线接口部件的部分组成:(1)4个16位的段地址寄存

5、器;CS—16位的代码段寄存器:用来存放当前程序所在段的段基址;DS—16位的数据段寄存器:用来存放当前程序所用数据段的段基址;ES—16位的扩展段寄存器:用来存放辅助数据所在段的段基址;SS—6位的堆栈段寄存器:用来存放当前程序所用堆栈段的段基址;(2)16位的指令指针寄存器IP:用来存放下一条指令的偏移地址,IP在当前程序运行中能够进行自动加1的修正,使其指向下一条指令;(3)20位的地址加法器:用来形成20位的物理地址;(4)6字节的指令队列缓冲器。(5)总线控制部件。用来产生并发出总线控制信号,实现对存储器、I/O端口的读写

6、控制,并将内部总线与外部总线相连接。2.执行部件EU执行部件EU的功能就是负责从指令队列取指令并执行。它由下列几个部分组成:(1)算术逻辑单元ALU:用来进行算术、逻辑运算,以及按照寻址方式计算寻址单元的偏移量。(2)运算寄存器(暂存器):协助ALU完成运算,用来暂时存放参加运算的数据。(3)通用寄存器组:包括4个通用寄存器,即AX(也称累加器)、BX、CX、DX;以及4个专用寄存器:基数指针寄存器BP:存放数据段中某一单元的偏移地址;也可指示堆栈段中某一单元的偏移地址;堆栈指针寄存器SP:存放堆栈栈顶偏移地址;源变址寄存器SI:与

7、数据段寄存器DS连用,确定数据段中某一存储单元的地址;目的变址寄存器DI:与数据段寄存器DS连用,确定数据段中某一存储单元的地址;(4)16位的标志寄存器FR;用来存放控制标志和反映CPU运行的状态特征。(5)EU控制电路:由定时电路、控制电路和状态逻辑电路组合而成。3.“流水线”结构的指令队列总线接口部件BIU和执行部件EU并不是同步工作的,每当EU从指令队列头部取出一条指令并在分析、执行指令这段时间内,或当指令队列中有2个空字节时,BIU会自动把指令取到指令队列中。当指令队列已满(6个字节),而且EU对BIU又无总线访问请求时,

8、BIU便进入空闲状态;但EU在分析、执行指令过程中,如须访问内存或I/O设备,EU就会向BIU申请总线周期,若BIU总线空闲,就会立即响应;若BIU此时正在取一条指令,EU就必须等待BIU取指令的操作完成以后,才会得到BIU响应。在8

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。