数电实验八 函数序列信号发生器

数电实验八 函数序列信号发生器

ID:40116170

大小:142.51 KB

页数:5页

时间:2019-07-21

数电实验八 函数序列信号发生器_第1页
数电实验八 函数序列信号发生器_第2页
数电实验八 函数序列信号发生器_第3页
数电实验八 函数序列信号发生器_第4页
数电实验八 函数序列信号发生器_第5页
资源描述:

《数电实验八 函数序列信号发生器》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验八序列信号发生器一.实验目的1.熟悉序列信号发生器的工作原理。2.学习序列信号发生器的设计方法。二.实验设备及器材数字电路实验箱双踪示波器稳压电源函数信号发生器74LS161四位十六进制加法计数器74LS2518选1数据选择器74LS1383—8译码器指示灯若干三.实验原理在数字信号的传输和数字系统的测试中,有时需要用到一组特定的串行数字信号,称其为序列信号。产生序列信号的电路称为序列信号发生器。序列信号的构成比较简单的是用计数器和数据选择器组成。例如产生一个八位序列信号00010111,如图:图一序列信号发生器电路图当CP信

2、号连续不断加在计数器上,QCQBQA的状态不断循环,D0——D7的状态取反后就循环不断的依次出现在输出端。若要修改序列信号,只需修改D0——D7的高低电平即可,无需更改电路结构。一.实验内容1.设计一个七位巴克码(0100111)的产生电路。七位巴克码(0100111)的产生电路仿真如下图:图二仿真结果正确。2.设计灯光控制逻辑电路,要求红、绿、黄三种颜色的灯在时钟信号作用下按表规定的顺序状态转换。表中1表示亮,0表示灭。CP顺序红黄绿012345678010010010001010100000111000表三下图为七进制计数器的

3、仿真结果:图四仿真结果正确。3.设计电机控制电路。用16进制计数器74LS161和3—8译码器74LS138来实现电机控制电路,A-B-C-D,仿真结果如下:图五一.实验结论与反思(1)巴克码产生电路中,D0—D7为巴克码的反码输入,序列信号输出也在反向端。(2)彩灯电路中,一个灯的变化可看做一个序列,可用巴克码产生电路产生序列,控制电路,则三个彩灯为三个序列,用三个巴克码产生电路产生三个序列来控制。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。