[工学]vhdl硬件描述语言与数字系统开发第3章

[工学]vhdl硬件描述语言与数字系统开发第3章

ID:39962330

大小:388.50 KB

页数:39页

时间:2019-07-16

[工学]vhdl硬件描述语言与数字系统开发第3章_第1页
[工学]vhdl硬件描述语言与数字系统开发第3章_第2页
[工学]vhdl硬件描述语言与数字系统开发第3章_第3页
[工学]vhdl硬件描述语言与数字系统开发第3章_第4页
[工学]vhdl硬件描述语言与数字系统开发第3章_第5页
资源描述:

《[工学]vhdl硬件描述语言与数字系统开发第3章》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、硬件描述语言与数字系统开发第3章硬件描述语言VHDL及其程序结构VHDL及其特点VHDL程序结构VHDL的实体VHDL的构造体VHDL的库及配置VHDL的描述风格EDA设计描述—HDLVHDL具有强大的行为描述能力,丰富的仿真语句和库函数,对设计的描述也具有相对独立性。Verilog语法比较自由,易学易用,最大特点是便于综合,对开发工具要求降低。ABEL一种早期的硬件描述语言支持逻辑电路的多种表达形式,其中包括逻辑方程,真值表和状态图。AHDL(AlteraHDL)是ALTERA公司发明的HDL,特点是非常易学易用,学过高级语言的

2、人可以在很短的时间(如几周)内掌握AHDL。缺点是只能用于ALTERA自己的开发系统。第3章硬件描述语言VHDL及其程序结构VHDL:VHSICHardwareDescriptionLanguageVHDL是美国国防部在70年代末和80年代初提出的VHSIC计划的产物。VHSIC—VeryHighSpeedIntegratedCircuit(1982年)由美国国防部(DOD)制定,作为各合同厂商之间提交复杂电路设计文档的一种标准方案。VHDL发展历史VHDL主要特点VHDL是一门标准化语言,适用于各种EDA设计开发工具,具有很强的

3、可移植性。VHDL是一门设计输入语言,将系统的行为功能用文本代码描述,充分体现了硬件电路的软件实现方式。VHDL是一门网表语言,在基于计算机的设计环境中作为不同设计工具间相互通信的一种低级格式,可替换,可兼容。VHDL是一门测试语言,可在设计描述的同时建立测试基准(test-bench),对设计进行功能模拟和行为仿真。VHDL是一门可读性语言,既为计算机接受,也易被人们所理解;既可作为设计输入,又是一份技术文挡。此外,与其它硬件描述语言相比,VHDL具有更强的行为描述能力和更长的生命周期,已成为数字系统设计领域最佳的硬件描述语言。

4、VHDL程序基本结构VHDL的基本设计单元Entity(实体)用来说明模型的外部特征。Architecture(构造体)用来定义模型的功能。Library(库)用来存放已编译过的设计单元,可作为其它设计描述的共享资源。Package(程序包)构成库的基本单元,使实体和结构体内的数据类型、常量、子程序等对于其他设计单元可见,包括包头和包体两部分。Configuration(配置)将实体和它的构造体联系起来。设计实体库、程序包使用说明(LIBRARY)实体说明(ENTITY)构造体(ARCHITECTURE)GENERIC类属说明配置

5、(CONFIGURATION)PORT端口说明构造体元素说明构造体功能说明实体(ENTITY)实体(ENTITY)用来描述设计单元的外部信息,即为设计单元命名,并说明端口。实体(ENTITY)说明语句的一般格式为:ENTITY实体名IS[类属参数说明][端口说明]END实体名;(斜体表示关键字;实体名为设计单元命名;[…]表示可选项;类属参数说明用以指定某些全局参数值;端口说明则定义了每个外部引脚的名称、模式和数据类型。)端口说明的一般格式为:PORT(端口名{,端口名}:端口模式数据类型;...端口名{,端口名}:端口模式数据类

6、型);例如,4-7译码器的端口描述:ENTITYYMQ4_7ISPORT(Q1,Q2,Q3,Q4:INBIT;Y:OUTSTD_LOGIC_VECTOR(7DOWNTO1));ENDYMQ4_7;设计实体端口模式说明端口模式(MODE)端口模式(MODE)有以下几种类型:IN;OUT;INOUT;BUFFER端口模式可用下图说明:(黑框代表一个设计或模块)INOUTBUFFERINOUT实体(ENTITY)与电路图设计中的电路元件符号(Symbol)相对应。Symbol规定了电路元件的符号名、接口关系和数据类型,同样地,ENTIT

7、Y也具有这样的功能。下面R-S触发器的例子可以看出两者间的对应关系。(右边是传统设计中的符号图描述,左边是VHDL的设计描述)ENTITIE与SYMBOL对应关系*实体语句用关键词ENTITY开头,实体名rsff是设计描述的符号名,在结束实体语句ENDrsff;之间,是实体说明语句。*在ENTITY语句的实体说明部分,常用PORT语句描述实体对外界连接的端口(数目、名称、方向和数据类型),实体rsff有四个端口,IN模式是Set/Reset,输出Q/QB是BUFFER(缓冲)模式,都为BIT类型。对rsff实体写一段VHDL描述,

8、即:ENTITYrsffISPORT(set,reset:INBIT;q.qb:BUFFERBIT);ENDrsff;ENTITIE与SYMBOL对应关系举例ENTITYcntm16IS--实体PORT(ci:INstd_logic;nreset:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。