ASK,FSK基于FPGA,SOPC上的设计与实现毕业设计

ASK,FSK基于FPGA,SOPC上的设计与实现毕业设计

ID:39631846

大小:705.50 KB

页数:42页

时间:2019-07-07

ASK,FSK基于FPGA,SOPC上的设计与实现毕业设计_第1页
ASK,FSK基于FPGA,SOPC上的设计与实现毕业设计_第2页
ASK,FSK基于FPGA,SOPC上的设计与实现毕业设计_第3页
ASK,FSK基于FPGA,SOPC上的设计与实现毕业设计_第4页
ASK,FSK基于FPGA,SOPC上的设计与实现毕业设计_第5页
资源描述:

《ASK,FSK基于FPGA,SOPC上的设计与实现毕业设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于FPGA和SOPC技术的ASK、FSK调制器设计与实现系别:自动化系专业班:自动化0601班姓名:李凤旭学号:20061184031指导老师:戴明鑫段丽娜2010年6月36基于FPGA和SOPC技术的ASK、FSK调制器设计与实现DesignandRealizationOfFPGAandSOPCBasedontheASK、FSKmodulator36摘要1934年美国学者李佛西提出脉冲编码调制(PCM)的概念,从此之后通信数字化的时代应该说已经开始了,但是数字通信的高速发展却是20世纪70年代以后才开始的。随着时代的发展,用户不再满足于听到声音,

2、而且还要看到图像;更重要的是,通信终端也不局限于单一的电话机,而且还有传真机和计算机等数据终端。现有的传输媒介如电缆、微波中继器和卫星通信,可以更好的使用数字传输。在数字传输系统中,数字信号对高频载波进行调制,变成频带信号,在接收端进行解调,恢复原数字信号。对载波的控制分为三种方法:振幅调制即振幅键控(ASK),频率调制即频率键控(FSK)和相位调制即相位键控(PSK)。现场可编程门阵列(FPGA)在通信领域得到了广泛的应用。利用FPGA性能优越、使用方便的特点,可以简化振幅调制和频率调制电路的设计,而且易于反复编写和修改程序。本文介绍了运用VHDL

3、语言进行基于FPGA的振幅键控调制电路和频率键控调制电路设计的实现方案,给出了程序设计和仿真结果,完成了二进制基带数字信号的调制,得到了相应的调制信号。关键词:FPGAVHDL振幅键控频率键控36AbstractCommunicationdigitalerahadbegansinceAmericanresearcherReevesputforwardtheconceptofpulsecodemodulation(PCM)in1934andgainedarapiddevelopmentafterthe1970s.Withtheeconomicdevel

4、opment,soundcan’tmeetthedemandofconsumersandtheyalsowanttoseetheimages.What’smore,communicationterminaldoesn’tonlyincludethesingletelephonesetbutalsothedataterminalsuchaselectro-graphandcomputer.Currenttransmissionmediumsuchascable,microwaverepeaterandsatellitecommunicationwill

5、prefertousedigitaltransmission.Indigitaltransmissionsystem,thedigitalsignalareusedtomodulatethehighfrequencycarrierwavetothefrequencysignal,whichtransfersthroughthechannel,andrenewedatthereceiver.Threewaystomodulatethecarrierwaveareasfollows:AmplitudeShiftKeying(ASK),Frequency-

6、ShiftKeying(FSK)andPhaseShiftKeying(PSK).Field-ProgrammableGateArray(FPGA)isapplieduniversallyinthecommunicationfield.WiththesuperiorperformanceandutilizationconvenienceofFPGA,thedesignofcircuitintheAmplitudemodulationandfrequency-ShiftKeyingmodulationcanbesimplifiedandit’seasy

7、forustocompileandmodifytheprogramme.ThisthesisintroducesthemainrealizationmethodofdesigningAmplitudeShiftKeyingmodulationandfrequency-ShiftKeyingmodulationcircuitbasedonFPGAinVHDL,illustratestheprogrammedesignandsimulationresult,implementthemodulationofbinarybasebanddigitalsign

8、alandfinallyfindsoutthecorrespondingmodulationsignal.K

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。