EDA课设要求汽尾灯

EDA课设要求汽尾灯

ID:39548828

大小:241.00 KB

页数:11页

时间:2019-07-06

EDA课设要求汽尾灯_第1页
EDA课设要求汽尾灯_第2页
EDA课设要求汽尾灯_第3页
EDA课设要求汽尾灯_第4页
EDA课设要求汽尾灯_第5页
资源描述:

《EDA课设要求汽尾灯》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、※※※※※※※※※※※※※※※※※※※※※※※※2007级EDA课程设计EDA课程设计报告书课题名称汽车尾灯控制电路设计姓名杜少波学号20076287院、系、部电气系专业电气工程指导教师高迎霞112010年6月18日一、设计任务及要求:汽车尾部左右两侧都有3指示灯,要求:汽车正常运行时指示灯全灭;右转弯时,右侧的3个指示灯按照右循环顺序依次亮;左转弯时左侧的3个指示灯按照左循环的顺序依次亮;临时刹车时所有指示灯同时闪烁。指导教师签名:2010年月日二、指导教师评语:指导教师签名:2010年月日三、成绩指导教师签名:2010年月日11汽车尾灯控制

2、电路设计一、设计目的熟悉循环和时钟的运用,熟练使用模块进行进程的实现,最终实现汽车按要求实现正常运行、左转、右转和刹车的电路模拟。二、设计要求汽车尾部左右两侧都有3指示灯,要求:汽车正常运行时指示灯全灭;右转弯时,右侧的3个指示灯按照右循环顺序依次亮;左转弯时左侧的3个指示灯按照左循环的顺序依次亮;临时刹车时所有指示灯同时闪烁。三、电路及连线设计四、使用说明定义左右转控制开关分别为k1和k2,左显示灯为D1-1~D1-3;右显示灯为D1-4~D1-6。当k1为高电平时灯的显示为D1-1←D1-2←D1-3如此循环,当k2为高电平时灯的显示为D1

3、-4→D1-5→D1-6并循环,如果k1k2均为低电平则显示灯全灭(即为正常运行状态),如果均为高电平则全亮并闪烁(即刹车状态)。11五、流程图设计输入VHDL代码保存建立文件夹设计项目原理图存盘,注意名称区别。选择目标器件启动编译引脚的选择引脚分配并编译编程下载/配置硬件测试六、程序设计如下:主控制模块顶层文件:libraryieee;useieee.std_logic_1164.all;entitykzisport(left,right:instd_logic;lft,rit,lr:outstd_logic);endkz;architect

4、urekz_arcofkzisbeginprocess(left,right)variablea:std_logic_vector(1downto0);begina:=left&right;11caseaiswhen"00"=>lft<='0';-----------------正常运行rit<='0';lr<='0';when"10"=>lft<='1';-----------------左转运行rit<='0';lr<='0';when"01"=>rit<='1';------------------右转运行lft<='0';lr<='0'

5、;whenothers=>rit<='0';---------------刹车lft<='0';lr<='1';endcase;endprocess;endkz_arc;左转控制模块:libraryieee;useieee.std_logic_1164.all;entitylftaisport(en,clk,lr:instd_logic;l2,l1,l0:outstd_logic);11endlfta;architecturelft_arcoflftaisbeginprocess(clk,en,lr)variabletmp:std_logic_

6、vector(2downto0);beginiflr='1'thentmp(0)=NOTCLK;--------------刹车闪烁tmp(1)=NOTCLK;tmp(2)=NOTCLK;elsifen='0'thentmp:="000";elsifclk'eventandclk='1'then------------左转依次闪烁iftmp="000"thentmp:="001";elsetmp:=tmp(1downto0)&'0';endif;endif;l2<=tmp(2);l1<=tmp(1);l0<=tmp(0);endprocess;

7、endlft_arc;11右转控制模块:libraryieee;useieee.std_logic_1164.all;entityritaisport(en,clk,lr:instd_logic;r2,r1,r0:outstd_logic);endrita;architecturerit_arcofritaisbeginprocess(clk,en,lr)variabletmp:std_logic_vector(2downto0);beginiflr='1'then------------------------刹车闪烁tmp(0)=NOTCL

8、K;tmp(1)=NOTCLK;tmp(2)=NOTCLK;elsifen='0'thentmp:="000";elsifclk'eventandcl

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。