基于QuartusII软件的数字时钟设计

基于QuartusII软件的数字时钟设计

ID:39474351

大小:461.89 KB

页数:14页

时间:2019-07-04

基于QuartusII软件的数字时钟设计_第1页
基于QuartusII软件的数字时钟设计_第2页
基于QuartusII软件的数字时钟设计_第3页
基于QuartusII软件的数字时钟设计_第4页
基于QuartusII软件的数字时钟设计_第5页
资源描述:

《基于QuartusII软件的数字时钟设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验名称:数字时钟设计姓名:杨龙成班级:电子与通信工程学号:3120302012成绩:一、实验目的1.掌握各类计数器及它们相连的设计方法;2.掌握多个数码管显示的原理与方法;3.掌握模块化设计方式;4.掌握用VHDL语言的设计思想以及整个数字系统的设计。二、实验内容1.设计要求1)具有时、分、秒计数显示功能,在数码管显示00:00:00~23:59:59,以24小时循环计时。2)完成可以计时的数字时钟时钟计数显示时有LED灯的花样显示。3)具有调节小时、分钟及清零的功能。4)具有整点报时功能。2.性能指标及功能设计1)时钟计数:完成时、分、秒的正确计

2、时并且显示所计的数字;对秒、分60进制计数,时钟—24进制计数,并且在数码管上显示数值。2)时间设置:手动调节分钟、小时,可以对所设计的时钟任意调时间。可以通过实验板上的键7和键4进行任意的调整,因为时钟信号均是1HZ的,所以LED灯每变化一次就来一个脉冲,即计数一次。3)清零功能:reset为复位键,低电平时实现清零功能,高电平时正常计数。4)蜂鸣器在整点时有报时信号产生,产生“滴答.滴答”的报警声音。5)根据进位情况,LED灯在时钟显示时有花样显示信号产生。3.系统方框图数字时钟控制单元时调整分调整使能端信号CLK信号时显示分显示秒显示24进制6

3、0进制60进制LED显示整点报时花样显示三、设计原理和过程3.1硬件设计本设计使用VHDL硬件开发板,可编程逻辑器件EMP1270T144C5系列。设计过程中用到的外围电路的设计有电源部分,可编程器件EMP1270T144C5,CPLD–JTAG接口,晶振和蜂鸣器,LED数码管显示,DIP开关与按键输入(具体电路见附录)3.2软件设计3.2..1程序包my_pkg的设计说明为了简化程序设计增加可读性,系统采用模块化的设计方法,重复使用的组件以元件(component)的形式存在,以便相关块的调用。下面列出my_pkg组件包的代码。libraryiee

4、e;useieee.std_logic_1164.all;packagemy_pkgiscomponentdiv40M------------------------------------------------------------------元器件1Port(clk:instd_logic;f1hz:outstd_logic);endcomponent;componentcount60-----------------------------------------------------------------元器件2Port(clr,cl

5、k:instd_logic;one:bufferstd_logic_vector(3downto0);ten:bufferstd_logic_vector(3downto0);full:outstd_logic;dout:bufferstd_logic_vector(7downto0));endcomponent;componentcount24-----------------------------------------------------------------元器件3Port(clr,clk:instd_logic;one:buffer

6、std_logic_vector(3downto0);ten:bufferstd_logic_vector(3downto0);full:outstd_logic);endcomponent;componentscan6----------------------------------------------------------------元器件4port(clr,clk:inSTD_LOGIC;h_ten,h_one,m_ten,m_one,s_ten,s_one:inSTD_LOGIC_vector(3downto0);cs:outSTD_

7、LOGIC_vector(5downto0);mux_out:outSTD_LOGIC_vector(3downto0));endcomponent;componentbin2led---------------------------------------------------------------元器件5port(bin:instd_logic_vector(3downto0);led:outstd_logic_vector(7downto0));endcomponent;componentsh1k---------------------

8、-------------------------------------------------元器件6P

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。