EDA课程设计---基于FPGA的洗衣机控制器的设计

EDA课程设计---基于FPGA的洗衣机控制器的设计

ID:38701981

大小:805.59 KB

页数:13页

时间:2019-06-17

EDA课程设计---基于FPGA的洗衣机控制器的设计_第1页
EDA课程设计---基于FPGA的洗衣机控制器的设计_第2页
EDA课程设计---基于FPGA的洗衣机控制器的设计_第3页
EDA课程设计---基于FPGA的洗衣机控制器的设计_第4页
EDA课程设计---基于FPGA的洗衣机控制器的设计_第5页
资源描述:

《EDA课程设计---基于FPGA的洗衣机控制器的设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、北京印刷学院EDA课程设计报告课程题目:基于FPGA的洗衣机控制器的设计课程名称:EDA技术课程设计院(系):信息与机电工程学院专业:电子信息工程姓名:薛大神学号:098888888指导老师:xxx实习日期:2012年6月28日-6月30日目录1.系统设计31.1设计要求31.2总体设计方案31.2.1设计思路31.2.2系统组成32.单元硬件电路设计42.1键盘模块42.2显示模块42.3中心控制模块43.软件设计43.1控制模块程序设计53.2显示译码程序设计53.3按键去抖程序设计53.4分频

2、模块程序设计64.系统仿真测试64.1控制模块仿真64.2按键去抖模块仿真74.3分频模块仿真74.4控制器操作演示8附录一使用说明9附录二电路原理图9附录三管脚分配图9附录四程序清单10摘要:洗衣机控制电路由一片altera公司的cyclone2系列EP2C35F672C6的FPGA作为中心控制器加上必要的外围电路组成,实现对洗衣机工作状态的控制。芯片编程采用Quartus2作为开发工具,由控制模块,分频模块,按键去抖模块,显示译码模块组成,顶层使用原理图实现,底层由VerilogHDL语句实现。

3、中心控制器FPGA根据控制键盘的信号,向洗衣机发出正传,反转,待机信号,并通过数码管和LED灯显示当前的状态及剩余时间。该洗衣机控制电路可以方便快捷的实现对洗衣机的控制和状态的显示功能。关键字:洗衣机FPGAVerilogHDL语言cyclone21.1设计要求1.系统设计1.洗衣机的状态为待机5s→正转60s→待机5s→反转60s→,并用3个LED灯和7段显示器分别表示其工作状态和显示相应工作状态下的时间。2.可自行设定洗衣机的循环次数,这里设置最大的循环次数为15次。3.具有紧急情况的处理功能

4、。当发生紧急情况时,立即转入待机状态,紧急情况解除后,继续执行后续步骤;4.洗衣机设定循环次数递减到零时立即报警,以表示洗衣机设定的循环次数已经结束1.2总体设计方案1.2.1设计思路题目要求设计一个洗衣机控制电路,实现对洗衣机工作状态的控制。设计分键盘模块,显示模块,中心控制模块,晶体振荡模块四部分。中心控制器FPGA根据控制键盘的信号,向洗衣机发出正传,反转,待机信号,并通过数码管和LED灯显示当前的状态及剩余时间。1.2.2系统组成洗衣机控制电路主要由键盘模块,显示模块,时钟分频模块,中心控制

5、模块三部分组成组成。晶体振荡模块(产生50MHz信号)键盘模块(按键,拨码开关等)FPGA显示模块(数码管,LED灯等)图1-1系统结构框图2.1键盘模块2.单元硬件电路设计设有2两个按键rst,add;2个拨码开关start和en紧急状态开关。rst用于复位,当按下reset的时候,控制器回复到初始状态。,add用于初始状态下设置循环次数,start用于启动停止洗衣机。2.2显示模块设有6个LED灯,3个数码管。3个LED灯用来表示当前状态,2个LED表示电机转动方向,另一个LED灯表示紧急状态

6、;2个数码管用来表示当前状态所剩余时间,1个数码管表示当前剩余循环次数。但在实验箱中,数码管已经将显码译码过程包含在相应的数码管内,故不需要单独对数码管显示进行仿真。2.3中心控制模块中心控制模块是以一片altera公司的Cyclone2系列EP2C35F672C6的FPGA为主体,配合一些外围电路实现的。Cyclone2系列FPGA采用全铜层、低K值、1.2伏SRAM工艺设计,裸片尺寸被尽可能最小的优化。采用300毫米晶圆,以TSMC成功的90nm工艺技术为基础,CycloneII器件提供了4,6

7、08到68,416个逻辑单元(LE),并具有一整套最佳的功能,包括嵌入式18比特x18比特乘法器、专用外部存储器接口电路、4kbit嵌入式存储器块、锁相环(PLL)和高速差分I/O能力。3.软件设计对可编程逻辑器件FPGA的编程采用Quartus2作为开发工具,顶层使用原理图实现,底层由VerilogHDL语句实现。软件设计由控制模块,分频模块,按键去抖模块,显示译码模块四部分组成,由于输入时钟为50Mhz,因此使用了分频模块输出1hz的方波,给控制模块提供每1s自减1的信号,控制模块输出的倒计时输

8、入给数码管显示译码模块,通过译码模块定时器的值可以在数码管上显示,控制模块由此1hz的方波信号可以控制洗衣机的正转、反转、待机和报警工作方式。按键消抖模块的作用是为了消除按键抖动而设立的。3.1控制模块程序设计如图3-1状态转换图与图3-2软件流程图所示,控制模块采用有限状态机实现对洗衣机工作状态的控制。启动start后,控制器首先进入待机s0状态,时间从5秒倒计时,如果没有到0秒则继续等待,时间自减;当t=0,进入洗衣机正转s1状态,时间从60秒倒计时,如果没有到0

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。