动态数码管显示

动态数码管显示

ID:37717536

大小:22.00 KB

页数:3页

时间:2019-05-29

动态数码管显示_第1页
动态数码管显示_第2页
动态数码管显示_第3页
资源描述:

《动态数码管显示》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、动态数码管显示一.实验目的学习动态扫描显示的原理及电路的设计。二.实验内容本实验的内容是建立数码管动态扫描显示模块,具体内容如下:1.在试验箱上完成LED数码管的动态显示”1-8”8个数字。2.放慢扫描熟读演示动态显示的原理过程。三.实验原理实验箱上的8个数码管都是8段数码管,a、b、c、d、e、f、g、h(是小数点)都分别连接到SEG0-SEG7,8个数码管分别由8个选通信号DIG0-DIG7来选择.被选通的数码管显示数据,其余关闭.如在某一时刻DIG2为低电平”0”,其余选通信号为高电平”1”,这时仅DIG2对

2、应的数码管显示来自段码信号端的数据,二其他的7个数码管呈关闭状态。根据这种电路状态,如果希望8个数码管显示希望的数据,就必须使得8个选通信号DIG0-DIG7分别被单独选通,并在此同时,在段信号输入口加上希望在该对应数码管上显示的数据,于是随着选通信号的扫描就能实现扫描显示的目的.虽然每次只有一个LED显示,但只要扫描的显示的速度够快,由于人的视觉余辉效应,使得我们仍会感觉所有的数码管都在同时显示。四.实验步骤启动QuartusⅡ,在需要放工程的地方建立一个空白的文件夹,命名为a1。启动QuartusⅡ,File→

3、newprojectwizard→Whatistheworkingdirectoryforthisproject?→选择a1文件夹→将a1复制到下面的空白框里→next→next→选择器件→next→next→finish。①File→new→VHDLFile②将编好的程序写入③Assignment→Device→Deviceandpinoptions→unusedpins→Asinputtri-state.④Assignment→pinplanner将引脚锁定⑤Device→Deviceandoption→con

4、figureation→useconfigurationdevice→EPCS4⑥Process→start→startanalysis&Elaboration⑦Startcompilation⑧Programmer⑨选择configuration⑩Start五.实验程序实验程序如下所示:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_ARITH.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYa1isport(cl

5、k:instd_logic;dig:outstd_logic_vector(7downto0);seg:outstd_logic_vector(7downto0));end;ARCHITECTUREoneOFa1ISsignaltmp:std_logic_vector(10downto0);signalq:std_logic;signaldig_r:std_logic_vector(7downto0);signalseg_r:std_logic_vector(7downto0);signaltemp:std_log

6、ic_vector(2downto0);beginprocess(clk)beginifclk'eventandclk='1'thentmp<=tmp+1;q<=tmp(10);endif;endprocess;process(q)beginifq'eventandq='1'thentemp<=temp+1;endif;endprocess;withtempselectdig_r<="01111111"when"000","10111111"when"001","11011111"when"010","111011

7、11"when"011","11110111"when"100","11111011"when"101","11111101"when"110","11111110"when"111";dig<=dig_r;withtempselectseg_r<="11111001"when"000","10100100"when"001","10110000"when"010","10011001"when"011","10010010"when"100","10000010"when"101","11111000"when"

8、110","10000000"when"111","11111111"whenothers;seg<=seg_r;endone;六.实验结果数码管后四位显示5678,而前四个无任何显示。七.实验心得这次实验中用VHDL语言来实验控制数码管的显示。这次实验的程序较长,且比较复杂,用来较长时间来弄懂此程序。谢谢安老师指导。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。