实验1QUARTUSII软件及状态机设计

实验1QUARTUSII软件及状态机设计

ID:37580687

大小:336.81 KB

页数:24页

时间:2019-05-11

实验1QUARTUSII软件及状态机设计_第1页
实验1QUARTUSII软件及状态机设计_第2页
实验1QUARTUSII软件及状态机设计_第3页
实验1QUARTUSII软件及状态机设计_第4页
实验1QUARTUSII软件及状态机设计_第5页
资源描述:

《实验1QUARTUSII软件及状态机设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、实验1QUARTUSII软件及状态机设计实验目的:学习FPGA设计软件教学基本要求:掌握软件流程,掌握状态机编程实验内容提要:设计一个状态机状态机设计是数字电路中使用非常广泛和方便的时序设计工具。由于硬件是并行的触发,相对软件是串行执行,那么让硬件电路按照节拍执行串行操作指令就成为一个问题,这就是状态机的主要功能。相应的,软件指令中的几十条简单顺序执行代码可能需要硬件的几十上百个触发器去实现其功能。所以,软件与硬件的设计思路有相当大的区别。当然,随着FPGA规模的不断扩大,这些问题也越来越容易解决了。我们可以用软件的思

2、路去描述自己的设计,可能最终实现的电路是几十万门级的器件,但是你只要花费几美元就能买到。状态机是数字电路的基础,因此,FPGA和VHDL语言的学习也从这个实验开始。四个环节:环节1FPGA课件学习40分钟参见《数字系统设计与FPGA专题实验》环节2VHDL语言学习20分钟打开lab/lab1/sync.vhd环节3sync实验;30分钟lab/lab1/sync.vhd环节4红绿灯实验;150分钟lab/lab1/states环节1FPGA课件学习40分钟参见《数字系统设计与FPGA专题实验》环节2VHDL语言学习20

3、分钟打开lab/lab1/step1/sync.vhd环节2VHDL语言学习20分钟VHDL语言结构库文件芯片输入输出定义(也可能是电路模块)内部功能描述内部功能描述分两部分信号声明布尔等式process内部的按照逻辑条件互斥书写有两类1,if(逻辑条件成立)thenelsif(逻辑条件成立)thenelse(该行根据情况可以没有,表示保持)endif;2,caseQiswhenQ0=>whenQ1=>whenothers=>(此处最好填回初始态)endcase;组合逻辑NotandorA<=bandc;等效于A<=b

4、whenc=‘1’else‘0’;<=赋值符,右边赋值给左边。Process内部的寄存器赋值Count<=count+1;表示Countn+1<=count+1;等式左边是次态,右边是现态和输入D触发器process(clk)ifclk'eventandclk='1'thenq<=d;endif;endprocess;q次态;d激励带异步复位的D触发器process(rst,clk)ifrst='0'thenq<='0';elsifclk'eventandclk='1'thenq<=d;endif;endprocess

5、;rst异步复位;q次态;d激励计数器ifrst='0'thenq<=(others=>‘0’);(所有比特位置0)elsifclk'eventandclk='1'thenq<=q+1;(左边的q是次态,右边的q是现态)endif;模60计数器ifrst='0'thenq<=(others=>'0');elsifrising_edge(clk)then(注意这种描述方式)ifq=59thenq<=(others=>'0');elseq<=q+1;endif;endif;process内部顺序process(rst,cl

6、k)ifrst='0'thenq<='0';elsifclk'eventandclk='1'thenq<=d;ifa='1'thenq<=b;elseq<=q;endif;endif;endprocess;你觉得上面这段代码中,q到底在怎样变化?process内部顺序process(rst,clk)ifrst='0'thenq<='0';elsifclk'eventandclk='1'thenifa='1'thenq<=b;elseq<=q;endif;q<=d;endif;endprocess;本页中,q到底在怎样变

7、化?环节3sync实验;30分钟lab/lab1/step1/sync.vhd画出你理解的这个电路的原理图环节3sync实验Out1out2out3out4有什么区别?这个电路完成了什么功能?通过仿真观察。环节4红绿灯实验;150分钟lab/lab1/step2/states.vhd一个十字路口交通灯控制系统设:东西道(EW)为主道,南北道(NS)为副道。若EW及NS均有车,则EW每次通行60秒(绿灯),NS每次通行40秒(绿灯),EW、NS轮流放行;若仅有一个通道有车,则禁止无车通道(红灯);若两通道均无车,则NS禁

8、止,EW放行;若通道转换时,两通道均需停车3秒(黄灯)。十字路口交通灯 控制器逻辑框图模3计数器模40计数器模60计数器分频器CLKCP(周期1秒)TM60ENTM3TM40TM3sENTM40ENTM60Q0D1D0Q1QDCLKQDCLK输出组合逻辑ENTM3ENTM40ENTM60EWREDEWGREENEWYELLOWNS

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。