eda 信号发生器三角波正弦波方波

eda 信号发生器三角波正弦波方波

ID:37454854

大小:1.13 MB

页数:13页

时间:2019-05-24

eda 信号发生器三角波正弦波方波_第1页
eda 信号发生器三角波正弦波方波_第2页
eda 信号发生器三角波正弦波方波_第3页
eda 信号发生器三角波正弦波方波_第4页
eda 信号发生器三角波正弦波方波_第5页
资源描述:

《eda 信号发生器三角波正弦波方波》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、《EDA技术》课程设计1引言简易多功能信号发生器是信号发生器的一种,在生产实践和科研领域中有着广泛的应用。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波和方波等,因而广泛用于通信、雷达、导航、宇航等领域。在本设计中它能够产生多种波形,

2、如正弦波,三角波和方波等,并能实现对各种波频率和幅度的改变。正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。可以基于FPGA、VHDL、单片机、DOS技能、数字电路等多种方法实现。本设计是采用VHDL来实现的简易多功能信号发生器。它能产生正弦波,三角波和方波。且对各种波形的要求如下:(1)设计任意信号发生器,使之能够生成正弦波、三角波和方波;(2)电路的外部频率为40MHz,要求信号发生器可产生0-1KHz、1KHz~10KHz、10KHz~1MHz三档频率的信号;(3

3、)要求具有波形选择和频率选择的功能;(4)在同一频率档内,可实现频率的加减;(5)要求显示波形的同时能够进行频率的调节;(6)要求能够显示波形:A——正弦波;B——三角波;C——方波;(7)要求能够显示频率值;(8)可用示波器进行波形的观测。13《EDA技术》课程设计2设计流程2.1设计思想及流程图本次课程设计按模块式实现,据任务书要求,设计总共分三大步骤完成:(1)产生波形(三种波形:方波、三角波、正弦波)信号;(2)频率控制;(3)显示频率值。利用VHDL编程,依据基本数字电路模块原理进行整

4、合。系统各部分所需工作时钟信号由输入系统时钟信号经分频得到,系统时钟输入端应满足输入脉冲信号的要求。频率控制模块有多个可选频率,最终送至脉冲发生模块输出脉冲信号,同时将信号的频率输出至数码管显示当前信号的频率值,达到设计课题所要求的输出波形频率可调功能。如图2-1所示:13《EDA技术》课程设计开始正弦,三角波,方波中间信号始化数据定义分频进程有显示频率功能波形输出进程有计数器判断波形选择键判断频率范围选择键判断频率值选择键频率值显示波形输出结束图2-1程序流程图13《EDA技术》课程设计2.2

5、总体功能简述这个设计运用.vhd文件,实现三角波、正弦波、方波的选择以及频率的选择。本次课设所做的简易多功能信号发生器,实现3种常见波形正弦波、三角波、方波的功能。并且输出信号的频率范围为0—1MHz,输出频率可以步进调节;同时具有显示输出波形、频率的功能。2.3三种波的简述及选择代码主要采用了ROM的设计思路。一共描述了256个点,用这256个数据来模拟这三种波,首先,在mem中放入64个数,,然后定义从0到63的信号,当时钟的上升沿达到的时候,则将这64个数一位一位的读出。然后,由选择信号选

6、择出相应的波形。原理图如图2-2所示:图2-2波形选择原理图2.4分频器的设计在分频器模块中,运用计数的方法实现分频,通过选择信号选择出相应的频率连接到波形选择的时钟信号上,并输出频率值在数码管上显示。原理图如图2-3所示:图2-2分频器原理图13《EDA技术》课程设计3信号发生器的仿真波形3.1软件调试在本次课设中,所使用的软件即为前面重点介绍过的QuartusII软件。本系统的软件功能强大,运用VHDL语言来编写,先在QuartusII对所编的模块一一进行仿真,排除了语法的错误编写和设计逻辑

7、思维的错误,当仿真完确认程序没问题时,再直接下载到FPGA芯片,用FPGA实验箱进行调试。采取的就是自顶向下的调试方法,即先单独调试好每一个模块,然后再连接成一个完整的系统再调试。3.2仿真波形运用本次选用的QuartusII软件对代码进行调试,即可得出相应的波形。正弦波信号的波形仿真如图3-1所示:图3-1正弦波信号的仿真波形clk为输入时钟信号;data为输出的正弦波信号波形;sel为00选择正弦波信号;三角波信号的波形仿真如图3-2所示:图3-2三角波信号的仿真波形clk为输入时钟信号;d

8、ata为输出的三角波信号波形;sel为01选择三角波信号;方波信号的波形仿真如图3-3所示:图3-3方波信号的仿真波形clk为输入时钟信号;13《EDA技术》课程设计data为输出的三角波信号波形;sel为10选择方波信号;分频器的波形仿真如图3-4所示:图3-4分频器的仿真波形clk为输入时钟信号;clk_out为输出时钟信号;outp为输出频率;sel_p为频率选择信号;3.3硬件测试本课程设计是简易多功能信号发生器,其总共有6个输入端。分别为频率选择键sel_p[x,x],每个频率段内的频

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。