cpldfpga设计与应用高级教程

cpldfpga设计与应用高级教程

ID:36667463

大小:3.03 MB

页数:64页

时间:2019-05-13

cpldfpga设计与应用高级教程_第1页
cpldfpga设计与应用高级教程_第2页
cpldfpga设计与应用高级教程_第3页
cpldfpga设计与应用高级教程_第4页
cpldfpga设计与应用高级教程_第5页
资源描述:

《cpldfpga设计与应用高级教程》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、北京航空航天大学出版社“十二五”高等院校规划教材CPLD/FPGA设计与应用高级教程郭利文邓月明编著黄智伟主审北京航空航天大学出版社内容简介本书结合目前主流的CPLD/FPGA产品以及最流行的设计理念,系统、详细地介绍CPLD/FPGA的硬件结构、硬件描述语言与验证语言的基础应用以及高级应用;详细介绍如何使用VerilogHDL语言进行有限状态机设计和testbench设计,以及如何使用Modelsim进行功能仿真和时序仿真;简要介绍验证方法学的基本概念以及验证语言的比较,并就CPLD/FPGA的系统应用进行了详细探讨,包括DSP设计、嵌入式处理器设计、HardCopy设计、嵌入式逻辑分析

2、仪的使用以及CPLD/FPGA的板级设计。本书既可作为电子信息、通信工程以及相关工科专业的本科高年级学生和研究生教材,也可作为全国大学生电子设计竞赛的培训教材,以及从事电子电路系统设计与CPLD/FPGA/ASIC设计的工程技术人员的参考用书。图书在版编目(CIP)数据CPLD/FPGA设计与应用高级教程/郭利文,邓月明编著.--北京:北京航空航天大学出版社,2011.1ISBN9787512402461Ⅰ.①C…Ⅱ.①郭…②邓…Ⅲ.①可编程序逻辑器件-教材Ⅳ.①TP332.1中国版本图书馆CIP数据核字(2010)第209231号版权所有,侵权必究。北京航空航天大学出版社CPLD/FPG

3、A设计与应用高级教程郭利文邓月明编著黄智伟主审责任编辑冯颖*北京航空航天大学出版社出版发行北京市海淀区学院路37号(邮编100191)http://www.buaapress.com.cn发行部电话:(010)82317024传真:(010)82328026读者信箱:bhpress@263.net邮购电话:(010)82316936印刷有限公司印装各地书店经销*开本:787×10921/16印张:20字数:512千字2011年1月第1版2011年1月第1次印刷印数:4000册ISBN9787512402461定价:39.00元(含光盘1张)前言本书基于当前主流的CPLD/FPGA器件及最流

4、行的设计理念,根据作者多年来的实际设计经验,系统介绍了CPLD/FPGA的硬件结构、硬件描述语言与硬件验证语言的基础应用以及高级应用,详细介绍了如何在工程中利用VerilogHDL语言进行有限状态机设计和Test-bench设计,以及如何使用Modelsim进行功能仿真和时序仿真;通过相关实例具体阐述了怎样实现SOPC的设计以及NiosII的应用开发,并就CPLD/FPGA的系统应用进行了详细探讨,包括DSP设计、嵌入式处理器设计、HardCopy设计、嵌入式逻辑分析仪的使用以及CPLD/FPGA的板级设计,从而很好地满足了可编程逻辑器件工程应用整个流程的知识需要。全书实例丰富、图文并茂,

5、由浅入深、由易到难详细介绍了CPLD/FPGA的设计与应用。全书共分11章:第1章简要介绍了CPLD/FPGA的发展历程、设计语言与设计方法、主要产品以及前景展望,介绍了硬件语言和软件语言的区别与联系,重点阐述了CPLD/FPGA的设计、验证流程及其注意事项;第2章分别从传统和最新CPLD/FPGA的硬件结构阐述了乘积项和查找表的基本原理以及CPLD/FPGA的选择指导,重点讲述了最新CPLD/FPGA的硬件结构(这是本书紧扣最新技术发展的体现之一);第3、4章主要讲述了目前工程界中使用最为广泛的VerilogHDL硬件描述语言,从工程实践的角度具体介绍了它的基本语法及其主要特点,重点讲述

6、了VerilogHDL语言的高级编程应用以及参数化设计;第5章通过实例说明着重阐述了有限状态机北京航空航天大学出版社的特点、设计和使用———包括目前最流行的设计方法;第6章简单地介绍了约束的基本概念以及约束的方式应用,重点阐述了在CPLD/FPGA设计中的时延设计与分析;第7章是对前面几章的概括,总结了采用硬件描述语言进行CPLD/FPGA设计时的一些基本设计原则和技巧,特别提出了组合逻辑和时序逻辑设计设计时的注意事项,以及代码风格的重要性;第8章主要讲述了仿真原理、Testbench设计以及如何通过采用Modelsim软件来实现仿真;第9章主要就验证与测试进行了探讨,简要介绍了HVL(硬

7、件验证语言)和断言的重要性;第10章就CPLD/FPGA的高级应用(包括DSP、嵌入式CPU、嵌入式逻辑分析仪等方面的知识)进行分析阐述,通过相关的实例详细说明了DSP和SoPC的相关应用;第11章是对整个CPLD/FPGA设计的总结,重点阐述了CPLD/FPGA的系统应用,包括信号完整性、电源完整性、功耗与热设计、PCB设计等方面的内容。与其他教材相比,本书的主要特点体现在以下5个方面:①内容新知识体系以及技术设计理论

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。