自编的《el-sopc-fpga实验指导书》(陈帅)

自编的《el-sopc-fpga实验指导书》(陈帅)

ID:35933375

大小:15.54 MB

页数:223页

时间:2019-04-25

自编的《el-sopc-fpga实验指导书》(陈帅)_第1页
自编的《el-sopc-fpga实验指导书》(陈帅)_第2页
自编的《el-sopc-fpga实验指导书》(陈帅)_第3页
自编的《el-sopc-fpga实验指导书》(陈帅)_第4页
自编的《el-sopc-fpga实验指导书》(陈帅)_第5页
资源描述:

《自编的《el-sopc-fpga实验指导书》(陈帅)》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、《FPGA实验指导书》V1.1---适用达盛科技ELSOPC4000实验箱淮南师范学院电气信息工程学院陈帅李营2013.3223前言CPLD和FPGA在当前的众多领域都有大量应用,进行实践是掌握CPLD和FPGA的有效途径。由于CPLD和FPGA只是一个芯片,各厂家的实验箱式或板式实验装置制作的PCB不同,采用的芯片也不同,因而指导书内容也各不相同,因而需要重新编写一本适合我院大纲的指导书。我们实验室采用的是北京达盛科技的FPGA(SOPC)实验箱EL-SOPC4000V1.2型实验系统,其FPGA芯片为A

2、LTERA公司的CycloneIII系列,芯片型号为:EP3C25F324C8。该实验箱底板还采用CPLD控制,在做FPGA实验时,还可以进行CPLD的实验。因而在做FPGA(SOPC)实验时还必须进行必要设置。考虑到原实验说明书不详细,我们特在原稿件基础上重新编写了实验指导书籍,以满足实际需要。第一部分:对实验箱组成部分进行了详细介绍;第二部分:增加了需要注意的实验说明部分;第三部分:介绍一个操作例程,以方便入门;第四部分:为入门级的基本实验项目;第五部分:为深入学习FPGA的提高实验项目。本实验主要目的

3、是培养设计能力,因而加大了实验设计,减少了验证实验。要求:一、实验前告诉学生设计题目。学生课下设计好代码或图纸,做好准备实验。写好部分实验报告。二、实验中 1、认真阅读实验指导书。2、按照操作步骤,进行正确操作。建立工程、建立文件、输入设计进行实验、检验设计是否正确,并现场修改3.直到得到正确的结果.三、实验后1、记录正确的实验数据:代码、图、文档2、整理实验数据,写出实验报告由于时间紧迫,编写之中难免有出错之处,忘请批评指出,以便修正。联系电子邮箱:chen232001@126.com,联系电话:0554

4、-6863698淮南师范学院陈帅教授/博士北京达盛科技2013.3223目录第一部分实验箱简介6一、底板EL-SOPC4000V1.26(一)底板上有用户IO:IO1~IO16。7(二)底板PORTA、PORTB与主板上FPGA引脚的对应关系7(三)E-LAB总线接口9(四)16个拨码开关,16个按键,12个交通灯和蜂鸣器四周4个灯,8位数码管,4x4矩阵键盘,16x16点阵LED9(五)8位用户LED单元11(六)可调时钟输出单元11(七)RS232、RS485接口单元12(八)10位串行AD(TLV15

5、70)单元13(九)10位串行DA(TLV5617)单元13(十)CAN总线接口单元14(十一)8寸VGA接口液晶屏单元15二、主板E-PLAY-3C25-Bv1.415三、扩展板E-PLAY-SOPC-EPC2EXT17四、扩展板插上主板的图18第二部分实验操作说明19第三部分实验例程20一、实验目的20二、实验原理20三、实验步骤20四、实验观测39五、实验报告39第四部分基本实验项目40实验4.1表决器实验40实验4.2门电路实验42实验4.3译码器实验44实验4.4触发器实验46实验4.5分频器设计

6、实验47实验4.6计数器实验49第五部分提高实验项目51第1章数字可编程设计实验51实验5.1.1组合逻辑3-8译码器的设计51实验5.1.2半加器64实验5.1.3全加器65实验5.1.4全减器67实验5.1.54位向量加法/减法器69实验5.1.6向量乘法器70实验5.1.7数据比较器72实验5.1.8多路数据选择器73实验5.1.9编码器74223实验5.1.10译码器75实验5.1.11二进制码转换成BCD码77实验5.1.12BCD码转换成二进制码78实验5.1.13BCD码转换成格雷码79实验5

7、.1.14组合逻辑电路的设计80实验5.1.15简单状态机83实验5.1.16串入/并出移位寄存器83实验5.1.17并入/串出移位寄存器85实验5.1.18多功能寄存器87实验5.1.19单脉冲发生器89实验5.1.20节拍脉冲发生器90实验5.1.21奇偶检验91实验5.1.22计数器93实验5.1.237段数码管显示95实验5.1.2416×16点阵控制实验97实验5.1.254×4矩阵键盘控制实验98实验5.1.26数字钟102实验5.1.27秒表设计实验105实验5.1.28交通灯实验107实验5

8、.1.29蜂鸣器演奏实验108实验5.1.30VGA接口驱动实验110第2章基于NIOS的软核设计实验112实验5.2.1Nios软核的设计112实验5.2.2外设模块的设计115实验5.2.3SOPC应用系统的生成128实验5.2.4NiosII软核验证以及NiosIIIDE软件的介绍133实验5.2.5SOPC系统的PIO验证140实验5.2.6基于NIOS的交通灯实验142实验5.2.77段数码管显示实验1

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。