candence数字仿真参数大全

candence数字仿真参数大全

ID:35643327

大小:27.52 KB

页数:7页

时间:2019-04-05

candence数字仿真参数大全_第1页
candence数字仿真参数大全_第2页
candence数字仿真参数大全_第3页
candence数字仿真参数大全_第4页
candence数字仿真参数大全_第5页
资源描述:

《candence数字仿真参数大全》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、Candence数字仿真参数大全(Ncverilog,irun)=======================Ncverilog=====================================ncverilog+access+wrc+nctimescale+1ns/100ps+libext+.vospecify+incdir+$PATH+define+$urmicro+notimingcheck-l$urLogFile+nclibdirname+$urWorkDir-f$urFileListloadpli1=$urPliPath/li

2、bpli.so=======================Irun=========================================irun-64bit–l$LogFile–f$FileList+abcd+efgk=”efgk”+notimingcheck+delay_mode_distributed–access+RWC–timescale1ns/10ps–override_timescale-covfile$CovFile–covdesigncoverage–covtest$CovDataBaseName–covworkdir$CovPat

3、h-sysc–gcc_vers$GccVersion–scautoshellverilog===================================================================调试模式增加-gui–linedebug$CovFileset_branch_scoringselect_coverage–all$Design_top…set_com<屏蔽常数项>deselect_coverage–allmodule$ModuleName…<屏蔽Module>deselect_coverage–allinstance$Inst

4、anceName…<屏蔽instance>set_toggle_excludefile–bitexclude$CovExcludefile<按比特位屏蔽信号,支持通配符>$CovExcludefilemodule$ModuleName.$signalinstance$InstanceName.$signal==================================================================     我们知道,由于NC-Verilog使用了NativeCompileCode 的技术来加强电路模拟的效率,因此在进行模拟时必须

5、经过compile(ncvlog 命令)以及elaborate(ncelab命令)的步骤。编译之后,针对每一个HDL设计单元会产生中间表达。接着elaborate命令会建立整个电路的结构,产生可以用来模拟的资料。最后使用ncsim命令来进行模拟。 1、三命令模式(wolf评论:分步执行,了解即可,没有必要尝试!)    命令如下:    ncvlog-frun.f    ncealbtb-accesswrc    ncsimtb-gui第一个命令中,run.f是整个的RTL代码的列表,值得注意的是,我们需要把tb文件放在首位,这样可以避免出现提示timescale的错

6、误。第二个命令中,access选项是确定读取文件的权限。其中的tb是你的tb文件内的模块名字。第三个命令中,gui选项是加上图形界面值得注意的是,在这种模式下仿真,是用“ -”的。而下边要说的ncverilog是采用“ +”的。 2、单命令模式    ncverilog+access+wrcrtl+gui    在这里,各参数与三命令模式相同。注意“ +”。    在本文里将详细讲述ncverilog 的各种常用的参数,对于三命令模式,请读者自己查看资料。    +cdslib+...           设定你所仿真的库所在    +define+macro... 

7、    预编译宏的设定    +errormax+整数       当错误大于设定时退出仿真    +incdir+path         设定include的路径    +linedebug           允许在代码中设定linebreakpoint(wolf评论:可以与+gui结合,利用simvisiontrace代码!)    +log+logfile           输出到名为logfile的文件中    +status               显示内存和CPU的使用情况    +work               工作库 

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。