《EDA技术及应用》课程论文--基于FPGA的电话计费器设计

《EDA技术及应用》课程论文--基于FPGA的电话计费器设计

ID:35635762

大小:383.00 KB

页数:18页

时间:2019-04-04

《EDA技术及应用》课程论文--基于FPGA的电话计费器设计_第1页
《EDA技术及应用》课程论文--基于FPGA的电话计费器设计_第2页
《EDA技术及应用》课程论文--基于FPGA的电话计费器设计_第3页
《EDA技术及应用》课程论文--基于FPGA的电话计费器设计_第4页
《EDA技术及应用》课程论文--基于FPGA的电话计费器设计_第5页
资源描述:

《《EDA技术及应用》课程论文--基于FPGA的电话计费器设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、学号:14082101440成绩:评语信息学院《EDA技术及应用》课程论文题目:基于FPGA的电话计费器设计作者王耀明班级08-1BF系别信息学院专业自动化完成时间2010年12月20日181绪论1.1FPGA发展及现状随着先进科技的发展,计算机仿真与应用技术也在发生着日新月异的变化。在计算机技术实现重大飞跃的同时,复杂可编程逻辑器件(CPLD)的应用逐步渗透到生产与生活各个方面,给人们生活带来了极大方便。因其性能的不断提高,应用范围也越来越广。1.2电话计费器相关背景在商品经济迅猛发展中,人们对电话的需求日益增长,大力发展和普及公用电话,以满足人们日常生活以及流动人口办理业务之需已

2、成为当前市场趋势。在公用电话业务发展中,由于诸多原因,计费不准的现象屡见不鲜,相关管理混乱,给人们生活带来极大不便。为了使电话计费更加准确,本文将介绍一种采用FPGA设计实现电话计费器的方法。1.3基于FPGA实现电话计费器的方案设计基本原则本文将针对自动计费电话机为例,给出方案设计基本原则如下:1.金能够通过选择增减的方式快速设定卡内的余额(最大显示定为200元);2.能够设计通话的种类(如按1~3键选择),并能够根据打电话的种类和通话时间进行金额的扣除:1为市话(0.1元/分钟),2为国内长途(1元/分钟),3为特殊种类电话(1.6元/分钟);3.能进行余额不足的报警:市话低于0

3、.5元报警;国内长途低于5元报警;国际长途低于10元报警;特殊电话低于8元报警;并且当余额不足以通话一分钟即停止通话。因为在设计中只对电话机的计费系统进行设计,而没有涉及到电话通话的信令过程,因此通话类型必须由外部输入。181.4论文主要完成的工作本文主要完成对电话计费系统的FPGA设计,其中包括系统组成框图、相关电路设计(包括控制与计费模块、显示模块、报警模块、顶层电路图)、系统仿真三大部分。设计语言采用Verilog_HDL硬件描述语言。2系统的硬件设计2.1电话计费器的控制要求为了使电话计费器能够正常稳定运行,在设计时应考虑到如下几点:1.在插入电话卡的同时,电话计费器的控制模

4、块开始工作;2.电话计费控制器与正常通话计费、计时模块相连,以实现整个系统的计费计时功能;3.如果卡内余额不足,报警模块将实现报警功能,报警模式采用声光报警;4.计费计时模块与显示模块相连,显示模块应实现对通话时间以及对话费余额的显示功能。其计费一般过程可概括为:用户插入电话卡→整个系统开始运行→显示卡内余额。由于没有信号接受器,该系统将由外部输入通话类型(市话、国内/国际长途、特殊电话等)。如果选择通话,计费系统将话费余额与所选通话类型计费率进行比较。如果话费余额小于费率值,则禁止通话且报警提示;如果话费余额大于等于通话二分钟门限,是正常通话状态,通话指示灯亮;如果话费余额大于或等

5、于费率值并且小于通话二分钟门限,则进入通话报警状态,表示通话时间不足一分钟,通话报警灯亮,通话指示灯闪烁且声音报警。根据电话计费器的工作过程,本系统采用分层次化、分模块的方式设计,本系统设计的系统组成框图如图1所示三个模块:控制与计费模块、显示模块和报警模块。如图中虚线框所示。控制与计费模块完成计费功能并产生控制信号,控制另外两个模块。显示模块动态显示通话时间与通话余额计费等信息。18报警模块是根据通话中出现的报警信息,及时给出声、光或者声光同时报警。图1电话计费控制器时钟正常通话计费计时模块显示方式通话计时显示话费余额显示余额不足声光报警插入电话卡2.2方案设计和功能分割本系统采用

6、层次化、模块化的设计方法,设计顺序采用自底向上设计。首先实现系统总体框图(图1)中各子模块然后由顶层模块调用各子模块实现整个系统。为了便于BCD码显示,这里时间和费用计数器均采用十进制表示。2.3各功能模块的设计和实现2.3.1控制与计费模块该模块的主要功能是控制电话的计费和报警,是整个设计的核心。控制与计费模块输入信号有:插卡输入信号enable(准备通话)、mode[2:0](通话类型选择与充值信号)、clk(系统工作的秒脉冲时钟信号)。具体工作过程为:初始化显示上次卡内的余额,且enable为无效电平,当用户按下enable键,使之成为有效电平时电路进入工作状态。首先判断通话类

7、型mode[2:0](国内长途,市话,特殊电话)。若mode[2:0]=3’b0xx,18表示进入通话状态。计费系统将余额与所选取的通话类型计费率(每分钟所收取的费用)进行比较。如果话费余额balance小于费率fee值,则禁止通话且声音报警;如果话费余额balance大于等于门限limit(只能通话二分钟门限),是正常通话状态,通话指示灯亮;如果话费余额balance大于等于费率fee值并且balance小于limit,则进入通话报警状态,表示通话时间不

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。