fpga的低频数字相位设计 毕业设计论文

fpga的低频数字相位设计 毕业设计论文

ID:353366

大小:1.25 MB

页数:45页

时间:2017-07-27

fpga的低频数字相位设计  毕业设计论文_第1页
fpga的低频数字相位设计  毕业设计论文_第2页
fpga的低频数字相位设计  毕业设计论文_第3页
fpga的低频数字相位设计  毕业设计论文_第4页
fpga的低频数字相位设计  毕业设计论文_第5页
资源描述:

《fpga的低频数字相位设计 毕业设计论文》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、1引言本设计采用单片机和FPGA相结合的电路实现方案。考虑到FPGA具有集成度高,I/O资源丰富,稳定可靠,可现场在线编程等优点,而单片机具有很好的人机接口和运算控制功能,所以采用FPGA和单片机相结合,构成整个系统的测控主体。自1985年Xilinx公司推出第一片现场可编程逻辑阵列(FPGA)至今,FPGA已经成为当今电子设计应用市场上首选的可编程逻辑器件之一。从航空航天到数字信号处理,再到汽车家电等消费领域,无处不见FPGA的身影。而且,随着微电子等工艺的进步,FPGA器件本身的性能逐年在提高,使得FPGA器件与其他同类器件相比更有竞争力。在这个各类电

2、子设计器件百花齐放的时代,广大消费者需要对这些电子设计器件有个更深入地了解,从而为自己的科研学习或工业生产挑选到既能满足各项性能指标要求,又经济实惠的合适的电子设计器件。因此,进行FPGA器件的应用研究并进行各类电子设计产品的性能优势对比分析是一项很有价值和实际意义的工作。随着EDA技术的高速发展,电子系统的设计技术和工具发生了深刻的变化,大规模可编程逻辑器件FPGA的出现,给设计人员带来了诸多方便。利用它进行产品开发,不仅成本低、周期短、可靠性高,而且可以随时在系统中修改其逻辑功能。FPGA器件的成本越来越低,Actel公司发布第三代的基于Flash的可

3、编程逻辑方案。这些新的FPGA芯片最低价将达到1.5美元,代表了全球最低成本的FPGA,并且相对于基于SRAM的FPGA来说具有保密的优势。基于Flash的FPGA可以提供加密、低功耗、上电工作、可重复编程的方案。目前生产FPGA的公司主要有Xilinx、Altera、Actel、Lattice、QuickLogic等,生产的FPGA品种和型号繁多。尽管这些FPGA的具体结构和性能指标各有特色,但它们都有一个共同之处,即由逻辑功能块排成阵列,并由可编程的互连资源连接这些逻辑功能块,从而实现不同的设计。45典型的FPGA通常包含三类基本资源:可编程逻辑功能块

4、、可编程输入/输出块和可编程互连资源。可编程逻辑功能块是实现用户功能的基本单元,多个逻辑功能块通常规则地排成一个阵列结构,分布于整个芯片;可编程输入/输出块完成芯片内部逻辑与外部管脚之间的接口,围绕在逻辑单元阵列四周;可编程内部互连资源包括各种长度的连线线段和一些可编程连接开关,它们将各个可编程逻辑块或输入/输出块连接起来,构成特定功能的电路。用户可以通过编程决定每个单元的功能以及它们的互连关系,从而实现所需的逻辑功能。不同厂家或不同型号的FPGA,在可编程逻辑块的内部结构、规模、内部互连的结构等方面经常存在较大的差异。除了上述构成FPGA基本结构的三种资

5、源以外,随着工艺的进步和应用系统需求的发展,一般在FPGA中还可能包含以下可选资源:存储器资源(块RAM、分布式RAM);数字时钟管理单元(分频/倍频、数字延迟、时钟锁定);算数运算单元(高速硬件乘法器、乘加器);多电平标准兼容的I/O接口;高速串行I/O接口;特殊功能模块(以太网MAC等硬IP核);微处理器(PowerPC405等硬处理器IP核)。下面介绍两种FPGA的一般结构。第一种包括5个可配置部分:(1)可配置逻辑块,用于实现大部分逻辑功能;(2)在可配置逻辑块的四周分布着可编程的输入输出块(Input/OutputBlocks),提供封装引脚与内

6、部逻辑之间的连接接口;(3)丰富的多层互连结构的可编程连线;(4)片上的随机存取块状RAM;(5)全数字式延迟锁相环(DLL)时钟控制块,与每个全局时钟输入缓冲器相连,该闭环系统确保时钟边沿到达内部触发器与其到达输入引脚同步,有效地消除时钟分配的延迟。另一种FPGA器件主要包括:(1)逻辑阵列,由多个逻辑阵列块(LogicArrayBlocks)排列而成,用于实现大部分逻辑功能;(2)在芯片四周分布着可编程的输入输出单元(Input/OutputElements),提供封装引脚与内部逻辑之间的连接接口;(3)丰富的多层互连结构的可编程连线;(4)片上的随机

7、存取块状RAM;(5)锁相环(PLL),用于时钟的锁定与同步、能够实现时钟的倍频和分频;(6)高速的硬件乘法器,有助于实现高性能的DSP功能。45目前绝大部分FPGA都采用查找表技术,最基本逻辑单元都是由LUT和触发器组成的。查找表简称为LUT,本质上就是一个RAM。目前FPGA中多使用4输入的LUT,所以每一个LUT可以看成一个有4位地址线的16x1的RAM。当用户通过原理图或VHDL语言描述了一个逻辑电路以后,FPGA开发软件会自动计算逻辑电路的所有可能的结果,并把结果事先写入RAM。这样,每输入一个信号进行逻辑运算就等于输入一个地址进行查表,找出该地

8、址对应的内容,然后输出即可。由于LUT主要适合SRAM工艺生产,所

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。