数字温度传感器的数字电路设计与实现

数字温度传感器的数字电路设计与实现

ID:34671319

大小:1.78 MB

页数:69页

时间:2019-03-09

数字温度传感器的数字电路设计与实现_第1页
数字温度传感器的数字电路设计与实现_第2页
数字温度传感器的数字电路设计与实现_第3页
数字温度传感器的数字电路设计与实现_第4页
数字温度传感器的数字电路设计与实现_第5页
资源描述:

《数字温度传感器的数字电路设计与实现》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、学校代码10530学号201110061305分类号TN431.1密级公开硕士学位论文数字温度传感器的数字电路设计与实现学位申请人刘杨指导教师金湘亮教授学院名称材料与光电物理学院学科专业微电子学与固体电子学研究方向数字集成电路设计二○一四年五月二十日万方数据DesignandImplementationofDiditalCircuitforDigitalTemperatureSensorCandidateYangLiuSupervisorProfessorXiangliangJinCollegeFacultyofMaterialScienceandPhotoelec

2、tronicsPhysicsProgramMicroelectronicsandSolidStateElectronicsSpecializationDigitalICdesignDegreeMasterofEngineeringUniversityXiangtanUniversitythDateMay20,2014万方数据湘潭大学学位论文原创性声明本人郑重声明:所呈交的论文是本人在导师的指导下独立进行研究所取得的研究成果。除了文中特别加以标注引用的内容外,本论文不包含任何其他个人或集体已经发表或撰写的成果作品。对本文的研究做出重要贡献的个人和集体,均已在文中以明确

3、方式标明。本人完全意识到本声明的法律后果由本人承担。作者签名:日期:年月日学位论文版权使用授权书本学位论文作者完全了解学校有关保留、使用学位论文的规定,同意学校保留并向国家有关部门或机构送交论文的复印件和电子版,允许论文被查阅和借阅。本人授权湘潭大学可以将本学位论文的全部或部分内容编入有关数据库进行检索,可以采用影印、缩印或扫描等复制手段保存和汇编本学位论文。涉密论文按学校规定处理。作者签名:日期:年月日导师签名:日期:年月日万方数据摘要本文介绍了数字温度传感器的应用及发展趋势,说明了本文选题的意义。基于Verilog语言,利用Modelsim、ISE、Design

4、Compiler等工具对数字温度传感器的数字电路进行了设计。内容包括电路功能的介绍、工作原理、设计关键点、功能仿真、FPGA验证及版图的实现。ASIC设计的流程,从设计的构思,代码的编写,功能仿真,FPGA验证,逻辑综合,静态时序分析,形式验证,布局布线,到最后的版图后仿真,芯片的测试。根据ASIC设计的一般流程提出数字温度传感器数字电路的设计流程,了2解IC协议,芯片的功能指标,模块的划分,直到版图后仿真。2数字温度传感器的数字电路按照功能划分为两大模块,IC从机模块和比较/222中断模块。IC从机模块包括IC从机接口和寄存器组,通过IC接口对寄存器2进行读写操作

5、。IC的传输模式有5种:写单字节寄存器,写双字节寄存器,读单字节寄存器,读双字节寄存器,不发送寄存器地址位读寄存器。此模块中的温度寄存器存在异步时钟域传输数据的情况,对其进行特殊的处理,保证数据正确的传输。比较/中断模块有两种工作模式,比较模式和中断模式,根据实际的需要选择不同的工作模式。输出极性的选择、故障队列的选择,这些设置极大的增加了芯片的实用性和可靠性。为了确保此模块中温度比较的正常工作,对其中的异步时钟进行了特殊的处理。数字电路的Verilog代码编写完成后,用ISE和FPGA进行验证。数字温度传感器中数字电路版图的实现基于MXIC0.35μmCMOS工艺

6、库。2在逻辑综合时,根据IC的时序,设计的要求以及工艺库的规则对电路加以约束。综合后的门级网表与综合前的RTL级网表进行形式验证,验证两个网表功能的一致性。验证通过后在ICC中进行布局布线。整个流程中还伴随着静态时序分析,确保时序的收敛。版图完成后再一次进行形式验证并利用Modelsim对最终的网表进行带时序的功能验证。2关键词:数字温度传感器;ASIC;IC从机;异步时钟域I万方数据AbstractTheapplicationanddevelopmenttrendofdigitaltemperaturesensorsisdescribedandthesignifi

7、canceofthistopicisexplainedinthearticle.DigitalcircuitofthedigitaltemperaturesensorisdesignedwithVeriloglanguageandusingModelsim,ISE,DesignCompilerandothertools.Itincludesintroductionofthecircuit,theprincipleofoperation,keypointsofthedesign,functionalsimulation,FPGAverificationandrea

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。