vhdl硬件描述语言 第四讲 vhdl语言的主要描述语句(1)

vhdl硬件描述语言 第四讲 vhdl语言的主要描述语句(1)

ID:34523679

大小:336.36 KB

页数:17页

时间:2019-03-07

vhdl硬件描述语言 第四讲 vhdl语言的主要描述语句(1)_第1页
vhdl硬件描述语言 第四讲 vhdl语言的主要描述语句(1)_第2页
vhdl硬件描述语言 第四讲 vhdl语言的主要描述语句(1)_第3页
vhdl硬件描述语言 第四讲 vhdl语言的主要描述语句(1)_第4页
vhdl硬件描述语言 第四讲 vhdl语言的主要描述语句(1)_第5页
资源描述:

《vhdl硬件描述语言 第四讲 vhdl语言的主要描述语句(1)》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、VHDL硬件描述语言与VHDL数字逻辑电路设计硬件描述语言与数字逻辑电路设计主讲:陶海红主讲:宋万杰西安电子科技大学雷达信号处理国家重点实验室雷达信号处理国防科技重点实验室4.1顺序描述语句∑WAIT语句;∑断言语句;∑信号带入语句;∑变量赋值语句;∑IF语句;∑CASE语句;∑LOOP语句;只占位置的一种空处理操作∑NEXT语句;用来为所对应信号赋一空值,表∑EXIT语句;示该驱动器被关闭∑过程调用语句;∑NULL语句。1WAIT语句WAIT无限等待WAITON信号[,信号];敏感信号量变化WAITFOR时间表达式;时

2、间到WAITUNTIL布尔表达式;条件满足例:PROCESS(a,b)PROCESSPROCESS(a,b)BEGINBEGINBEGINy<=aANDb;y<=aANDb;y<=aANDb;ENDPROCESS;WAITONa,b;WAITONa,b;ENDPROCESS;ENDPROCESS;WAITUNTIL布尔表达式;建立一个隐式敏感信号量表例:WAITUNTIL((x+y)>50);WAITFOR语句例:WAITFOR15ns;WAITFOR(a*b+c);多条件WAIT语句多个等待条件(包括时间和布尔表达式)

3、WAITONnmi,interruptUNTIL((nmi=TRUE)OR(interrupt=TRUE))FOR5US;等待的三个条件:信号量nmi,interrupt的任何一个有变化;信号量nmi,interrupt的任何一个取值为真;已等待5USNOTE:在多条件等待中,表达式的值至少应包含一个信号量的值。eg.WAITUNTIL(interrupt=TRUE)OR(clk=‘1’);wrong超时等待等待语句通常要加一项超时等待项,以防止陷入无限期等待状态。ARCHITECTUREwait-exampleOFwa

4、it-exampleISSIGNALsendB,sendA:STD-LOGIC;BEGINsendA<=‘0’;A:PROCESSBEGINWAITUNTILsendB=‘1’;sendA<=‘1’AFTER10ns;WAITUNTILsendB=‘0’;sendA<=‘0’AFTER10ns;ENDPROCESSA;B:PROCESSBEGINWAITUNTILsendB=‘1’;sendA<=‘1’AFTER10ns;WAITUNTILsendB=‘0’;sendA<=‘0’AFTER10ns;ENDPROCESSB

5、;ENDwait-example;2断言(ASSERT)语句主要用于程序仿真、调试中的人机会话ASSERT条件[REPORT输出信息][SEVERITY级别];eg.ASSERT(sendB=‘1’)FAILURE,REPORT“sendBtimedoutat‘1’”ERROR,WARNSEVERITYERROR;ING,NOTE见P72页例5-43信号代入语句目的信号量〈=信号表达式;示例a<=b4变量赋值语句目的变量:=表达式;示例c:=d+e多选择控制5IF语句门闩控制1IF条件THENIF3条件THEN顺序处理语

6、句顺序处理语句;ENDIF;ELSIF条件THEN2IF条件THEN顺序处理语句顺序处理语句ELSIF条件THENELSE顺序处理语句顺序处理语句ELSEENDIF顺序处理语句ENDIF;二选择控制process(clk)beginif(clk‘eventandclk=‘1’)thenif(indata>0)thensign<=‘0’;elsesign<=‘1’;endif;endif;endprocess;注意:if语句允许嵌套,并且每个“if”都要求有一个“endif”与之对应。使用场所:process语句,subp

7、rogram本体,if语句,case语句,loop语句。PROCESS语句结构[进程名]:PROCESS(信号1,信号2,...)进程名可有可无;BEGINPROCESS结构中语句顺序...执行;...无论哪个信号发生变化,ENDPROCESS[进程名];都将启动PROCESS句。例:process(clk)beginif(clk‘eventandclk=‘1’)thenif(indata>0)thensign<=‘0’;;else使用场所:architecture本体sign<=‘1’;block本体endif;gen

8、erate语句endif;endprocess6CASE语句CASE语句用来描述总线或编码、译码的行为。CASE表达式IS值和对应执行语句的对应关系WHEN条件表达式=>顺序处理语句ENDCASE;注意:WHEN后跟的条件表达式有四种形式:值;值

9、值

10、...

11、值;值to值;OTHERSIF和CASE的区别:IF语句:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。