自动售货机控制模块vhdl程序设计及fpga实现 (1)new

自动售货机控制模块vhdl程序设计及fpga实现 (1)new

ID:34371079

大小:1.64 MB

页数:3页

时间:2019-03-05

自动售货机控制模块vhdl程序设计及fpga实现 (1)new_第1页
自动售货机控制模块vhdl程序设计及fpga实现 (1)new_第2页
自动售货机控制模块vhdl程序设计及fpga实现 (1)new_第3页
资源描述:

《自动售货机控制模块vhdl程序设计及fpga实现 (1)new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、Applications应用设计:嵌入式系统自动售货机控制模块VHDL程序设计及FPGA实现哈尔滨理工大学王鹏陈亭邢维东李敏北京信息科技大学闫健近年来,随着集成电路技术的迅能优化;具有方便的逻辑仿真与调试位按钮按下时,自动售货机回到初始猛发展,特别是可编程逻辑器件的高功能,在设计早期就能查验系统的功状态。速发展,EDA(ElectronicDesign能,方便地比较各种方案的可行性及开发软件选用功能强大的Automation,电子设计自动化)技术其优劣。目前,VHDL作为IEEE的工Altera公司的最新可编程逻辑器件成为电子设计工程师的新宠。EDA业标准硬件描述语言,

2、得到众多EDA开发工具QuartusII8.0,实现芯技术以计算机为工具完成数字系统的公司的支持,在电子工程领域已经成片选用Altera公司FLEX10K系列的逻辑综合、布局布线和设计仿真等工为事实上通用硬件描述语言。EPF10K10LC84-4;首先在计算机上作。电路设计者只需要完成对系统功本文采用VHDL作为工具描述了完成程序设计、编译及时序仿真,然能的描述,就可以由计算机软件进行自动售货机控制模块的逻辑控制电后将经过验证的设计文件下载到选择系统处理,最后得到设计结果,并且修路,并在FPGA上实现。该自动售货的可编程逻辑器件中,并在电子设计改设计方案如同修改软件一样

3、方便。机能够根据投入硬币额度,按预定的自动化实验系统中进行硬件模拟和测利用EDA工具可以极大地提高设计效要求在投入硬币大于规定值时送出饮试。率。料并找零。利用硬件描述语言编程来表示状态机VHDL程序设计逻辑器件及系统硬件的功能和行为,设计方案有限状态机FSM(FiniteState是EDA设计方法的一个重要特征。本文所设计的简易自动售货机可Machine)及其设计技术是实用数字系VHDL(VeryHighSpeedIntegrated销售矿泉水,假设每瓶1.5元。设两统设计中实现高效率、高可靠逻辑控CircuitHardwareDescription个投币孔,分别接收1

4、元和5角两种硬制的重要途径。传统的状态机设计方Language,超高速集成电路硬件描述币,两个输出口,分别输出购买的商法需进行繁琐的状态分配、绘制状态语言)是硬件描述语言的一种,对系品和找零。假设每次只能投入一枚1元表、简化次态方程等,而利用VHDL统硬件的描述功能很强而语法又比较或5角硬币,投入1元5角硬币后机器自可以避免这些烦琐的过程,直接利用简单。VHDL具有强大的行为描述能动给出一瓶矿泉水;投入2元硬币后,状态转换图进行状态机的描述。此力,设计者可以不懂硬件的结构,只在给出一瓶矿泉水的同时找回一枚5角外,与VHDL的其他描述方式相比,需集中精力进行电子系统的设计

5、和性的硬币。另外设置一复位按钮,当复状态机的VHDL表述丰富多样,程序今日电子·2011年1月47应用设计:嵌入式系统Applications层次分明,结构清晰,易读易懂;在comb_outputs表示。comb_outputs(0)state<=S0;--异步复位排错、修改和模块移植方面也有其独表示输出货物,comb_outputs(1)表示ELSIFrising_edge(clk)THEN到的特点。找5角零钱。输出信号为1表示输出货current_state<=next_state;状态机有摩尔(Moore)型和米物或找零,输出信号为0表示不输出货--状态转换立(M

6、ealy)型两种。Moore型状态物或不找零。ENDIF;机的输出信号只与当前状态有关;根据图1所示的状态转换图,用ENDPROCESS;Mealy型状态机的输出信号不仅与当VHDL中的CASE_WHEN结构和IF_corn:PROCESS(current_前状态有关,还与输入信号有关。THEN_ELSE语句实现控制功能,源state,state_inputs)--组合进程结合本文设计,由于输出仅与状态有程序如下:BEGIN关,选用了Moore型状态机设计自动LIBRARYIEEE;CASEcurrent_stateIS售货机控制模块,状态转换图如图1所--库和程序包的

7、使用说明WHENS0=>comb_outputs<="示。USEIEEE.STD_LOGIC_1164.00";--现态S0ALL;IFstate_inputs<="00"ENTITYsellmachineISTHENnext_state<=S0;--输入不--实体定义同,次态不同PORT(clk,reset:INstd_ELSIFstate_inputs<="01"logic;THENnext_state<=S1;state_inputs:INstd_logic_ELSIFstate_inputs<="10"vector(0TO1);T

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。