安路td软件debug工具使用说明

安路td软件debug工具使用说明

ID:33981063

大小:673.56 KB

页数:7页

时间:2019-03-03

安路td软件debug工具使用说明_第1页
安路td软件debug工具使用说明_第2页
安路td软件debug工具使用说明_第3页
安路td软件debug工具使用说明_第4页
安路td软件debug工具使用说明_第5页
资源描述:

《安路td软件debug工具使用说明》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、ConfidentialTechnicalNoteTN003安路TD软件Debug工具使用说明1术语/缩略词FPGA:FieldProgrammableGateArray现场可编程门阵列CPLD:ComplexProgrammableLogicDevice复杂可编程逻辑器件TD:TangDynasty上海安路软件集成开发环境EMB9K:Embeddedmemoryblock9Kbit的嵌入式存储模块2关于本手册正为了便于用户进行项目开发,Anlogic的TD软件提供ChipWatcher、BramEdit和ChipProbe三种Debug工具,本手册主要

2、介绍了三种Debug工具的使用方法。本手册适用的软件版本:TD4.2.1693ChipWatcher界面介绍图1ChipWatcher界面介绍TN003_1.1www.anlogic.com12018.9安路TD软件Debug工具使用说明安路科技4ChipWatcher使用流程1)在TD软件主界面点击ChipWatcher功能按钮,选择新建或编辑一个已有文件进入ChipWatcher主界面。图2新建一个ChipWatcher2)添加采样时钟与要观察的信号,根据需要对采样深度,触发条件等参数进行配置。图3ChipWatcher参数配置3)配置完成后保存文件

3、,并将建立的chipwatcher添加到工程中。再次编译工程,通过chipwatcher的下载按钮,将位流信息下载到FPGA中。图4下载位流文件TN003_1.1www.anlogic.com22018.9安路TD软件Debug工具使用说明安路科技4)点击单次采样或连续采样按钮,观察抓取到的信号。图5观察信号波形5ChipWatcher使用注意事项1)在添加要观察的信号时,filter菜单默认类型为Watcher,若用户选择filter类型为watcher之外的信号将不能保证被正确采样。图6ChipWatcher信号列表2)使用ChipWatcher进行

4、在线调试,会额外占用芯片EMB9K资源,将ChipWatcher从工程中移除时占用的资源会被释放,在使用中要根据需要选择合适的采样深度,同时不建议同时抓取大量信号的波形。3)若要抓取的信号被综合,可在信号定义后面添加/*synthesiskeep*/注释,保持信号不被综合。TN003_1.1www.anlogic.com32018.9安路TD软件Debug工具使用说明安路科技6BramEdit界面介绍图7BramEdit界面介绍7BramEdit使用流程1)编辑工程中需要读写的RAMIP,在参数配置中使能DebugEnable,重新编译工程,将新的位流文

5、件下载到FPGA中。图8使能DebugEnableTN003_1.1www.anlogic.com42018.9安路TD软件Debug工具使用说明安路科技2)打开BramEdit,切换到logic模式,打开与要操作RAM相对应的bid文件。图9选择要操作的ram模块3)通过读写功能按钮对RAM内容进行操作。图10RAM内容在线读写8BramEdit使用注意事项1)在physical选项选中后,列出的是工程用到的单个EMB9K的使用情况,其中包括ChipWatcher占用的EMB9K资源;Logic选项选中后,要根据bid文件选择将要进行操作的RAM/RO

6、M,此时显示的是按照例化IP时的参数组合后的RAM/ROM,更符合操作习惯。2)BramEdit只支持对EMB9K搭建的单口RAM/ROM进行操作。TN003_1.1www.anlogic.com52018.9安路TD软件Debug工具使用说明安路科技9ChipProbe使用流程1)点击ChipProbe功能按钮进入ChipProbe主界面,通过add按钮新建一个ChipProbe图11新建一个ChipProbe2)选择要观察的信号,并给它分配具体的引脚图12ChipProbe参数配置3)点击checkandsaveallchanges生成新的位流文件,

7、并将新的位流文件下载到器件中,在之前分配的引脚上用示波器等观察引出信号的波形。图13生成新的位流文件文描述描述内容TN003_1.1www.anlogic.com62018.9安路TD软件Debug工具使用说明安路科技版本信息日期版本说明27/07/20181.0初版建立14/09/20181.1文档格式调整版权所有©2018上海安路信息科技有限公司未经本公司书面许可,任何单位和个人都不得擅自摘抄、复制、翻译本文档内容的部分或全部,并不得以任何形式传播。免责声明本文档并未授予任何知识产权的许可,并未以明示或暗示,或以禁止发言或其它方式授予任何知识产权许可

8、。除安路科技在其产品的销售条款和条件中声明的责任之外,安路科技概不承担任何法律或

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。