基于cpldfpga的2fsk解调系统

基于cpldfpga的2fsk解调系统

ID:33721613

大小:354.12 KB

页数:10页

时间:2019-02-28

基于cpldfpga的2fsk解调系统_第1页
基于cpldfpga的2fsk解调系统_第2页
基于cpldfpga的2fsk解调系统_第3页
基于cpldfpga的2fsk解调系统_第4页
基于cpldfpga的2fsk解调系统_第5页
资源描述:

《基于cpldfpga的2fsk解调系统》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于CPLD/FPGA的2fsk解调系统授课学期2013学年至2014学年第2学期学院电子工程专业通信工程学号1姓名杨童任课教师傅得立成绩阅读教师签名日期9目录1概述21.1设计实现的功能和意义21.2设计的基本思想及常用解调方法21.2.1同步解调法21.2.2包络检测法31.2.3过零检测法42.系统设计42.1系统设计框图42.2各子单元的设计思路52.2.1.时钟计数器C52.2.2.计数器Q52.2.3. 判决器D62.2.4核心代码62.3各子单元之间的接口关系73.综合73.1使用fpga芯

2、片型号73.2芯片内各种资源的使用情况74模拟仿真图及说明85.总结96.参考文献99基于CPLD/FPGA的2fsk解调系统摘要:这篇论文将围绕2fsk解调而展开,首先,需对2fsk这一概念进行理解。2fsk,即二进制频移键控,它使用不同频率的载波来传输数字信号,并用数字基带信号的频率.二进制频移键控使用两个不同的频率的载波来代表数字信号的两种电平,其接收端收到不同的载波信号在进行逆变换称为数字信号,完成信息传输的过程。1概述1.1设计实现的功能和意义(1)用vhdl语言实现2fsk系统的解调。(2)实

3、现2fsk系统的仿真波形图。(3)掌握2fsk信号解调的常用方法。(4)培养动手解决问题和逻辑思维能力。1.2设计的基本思想及常用解调方法在2fsk解调中,常用以下三种方法:1.2.1同步解调法同步解调中,fsk信号解调原理方框图如图所示,可见fsk信号的同步解调分成上下两个之路,输入的fsk信号经过f1和f2了;两个带通滤波器后变成了上,下两路ask信号,之后其解调原理与ask相似,但判决需对上下两支路比较来进行。假设上支路低通滤波器输出为x1,下支路低通滤波器输出为x2,z则判决准则为x1-x2>0判

4、输入信号为f1x1-x2<0判输入信号为f29当输入的fsk信号振荡频率为f1,上支路经带通后有正弦信号Acos2πf1t存在,与ask系统接收到1码的情况相似。进过低通滤波器,x1=A.而下支路带通滤波器输出为0,与ask系统接收到0码时的情况类似。故x2=0。显然x1-x2=a-0>0,按判决准则判断输入为f1。反之,则为f2.因此可以判决出fsk信号。带通f1滤波器带通f2滤波器低通滤波器低通滤波器比较判决器1.2.2包络检测法fsk信号包络解调方框图如下图所示。可见,fsk信号包络解调相当于两路a

5、sk信号包络解调。用两个窄带的分路滤波器分别滤出频率为f1,f2的高频时钟,经包络检波后分别取出他们的包络。把两路输出同时送到抽样判决器进行比较,从而判决输出基带数字信号。设频率f1代表数字信号1,f2代表0,则抽样判决器的判决准则为x1-x2>0判输入信号为f1X1-x2<0判输入信号为f2式中,x1,x2分别为抽样时刻两个包络检波器的输出值。这里的抽样判决器,要比较x1,x2大小,或者说把差值x1-x2与零电平来比较。因此,又是这种比较判决器的判决门限为零电平。当fsk信号为f1,上支路相当于ask系

6、统接受1码的情况,其输出x1为正弦波加窄带高斯噪声的包络,他服从锐利分布,下支路输出的瞬时值服从莱斯分布。由上分析可知,无论输出的fsk信号是f1还是f2,两路输出总是为一路莱斯分布,另一路为瑞丽分布,而判决准则仍为上式,因此可判决出fsk信号9带通f1滤波器带通f2滤波器低通滤波器低通滤波器比较判决器1.2.3过零检测法过零检测法是利用信号波形在单位时间内与零电平轴交叉的次数来测定信号频率。输入的已调信号经限幅放大后成为矩形脉冲波,再经微分电路得到双向尖脉冲,然后整流得到单向尖脉冲,每个尖脉冲代表信号的

7、一个过零点,尖脉冲重复的频率是信号频率的两倍。将尖脉冲去触发一单稳态电路,产生一定宽度的矩形脉冲序列,该序列的平均分量与脉冲重复频率,即输入频率信号成正比。所以经过低通滤波器的输出平均量的变化反映了输入信号的变化,这样就完成了频率一幅度的变换,把码元“1”与“0”在幅度上区分开来,恢复出数字基带信号。,2.系统设计2.1系统设计框图2fsk解调方框图如图1所示,2fsk解调电路的vhdl建模符号如图2所示。该模型的核心部分有分频器,寄存器,计数器和判决器组成。且,2fsk解调器的分频输出为较高的那个载波信

8、号。由于f1和f2的周期不同,若舍f1=2f2,且基带信号电平1对应f1;基带信号电平0对应f2,在图1中计数器以f1为时钟信号,上升沿计数,基带信号1码元对应的技术个数为1/f1,基带信号0阿元对应的计数个数为1/f2,计数器根据两个不同的技术情况对应输出0和1两种电平,判决器以f1为时钟信号,对计数器输出信号进行抽样判决,并输出基带信号,图中没有包含模拟电路部分,调制电路为数字信号形式。9图1图22.2各子单元的设计思路2

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。