基于fpga的智能温度控制器new

基于fpga的智能温度控制器new

ID:33700827

大小:655.39 KB

页数:4页

时间:2019-02-28

基于fpga的智能温度控制器new_第1页
基于fpga的智能温度控制器new_第2页
基于fpga的智能温度控制器new_第3页
基于fpga的智能温度控制器new_第4页
资源描述:

《基于fpga的智能温度控制器new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、·78·工业仪表与自动化装置2009年第1期基于FPGA的智能温度控制器赵新雨,许忠仁,杨培善,杨立保(辽宁石油化工大学信息工程学院,辽宁抚顺113001)摘要:智能温度控制器的硬件部分主要由温度传感器、放大器、A/D转换器和控制器等部分构成。在QuartusII开发环境下采用VHDL语言实现了智能温度控制器的数据采集、时钟定时和温度控制等模块,并利用FPGA实现相应的功能,经过波形仿真、下载调试,验证了设计方案的可行性及实现方法的有效性。关键词:VHDL;FPGA;温度控制中图分类号:TP273文献标志码:A文章编号:1000-0682(2009

2、)01-0078-04ThedesignofanintelligenttemperaturecoutrollerbasedonFPGAZHAOXinyu,XUZhongren,YANGPeishan,YANGLibao(CollegeofInfoEngunderLiaoningPetrochemicalUniversity,LiaoningFushun113001,China)Abstract:Thehardwareoftheintelligenttemperaturecontrollerismainlycomposedofatemperature

3、sensor,amplifier,A/Dconverterandcontroller.Itsdatacollection,clocktimingandtemperaturecontrolaremodularizedandimplementedbyusingtheVHDLlanguageintheQuartusIIdevelopmentenviron2ment.AllthecorrespondingfunctionsareperformedbymeansoftheFFGA.Thewavesimulationandde2buggingdownloadh

4、aveprovedthefeasibilityofthedesignproposalandtheeffectivenessofthemethod.Keywords:VHDL;FPGA;temperaturecontrol度传感器的感测能力是温度每升高1K就增加10引言μA的电流量,该电流流入10kΩ电阻后,将产生10VHDL即硬件描述语言,是电子设计自动化mV(0101V)的电压。而0℃(等于273K)时,输出(EDA)的主要工具,在电子电路设计中被广泛应电流273μA,经I/V转换后,将产生2.73V的电用。FPGA即现场可编程门阵列,是现代ED

5、A设计压。如果测到的电压为xV时,则可由(x-2.73)÷的主要逻辑器件。FPGA由掩膜可编程门阵列和可0101得到要测量的温度。温度传感器AD590的输编程逻辑器件演变而来,它具有门阵列的高逻辑密出经过放大器后,将电压引入ADC0809的Vin(+)度和通用性,还具有可编程逻辑器件的用户可编程管脚。ADC0809是8位数模转换器,测量精度为特性。可满足不同场合的需要。该文主要利用0.02V,当ADC0809的转换值为x时,所测温度为VHDL语言、QuartusII工具软件和FPGA器件来设T=(x×0.02-2.73)÷0101=x×2-273。

6、控制功计温度控制器的控制功能,结合温度传感器AD590、能由FPGA实现。固态继电器SSR主要用来驱动电放大器、模数转换器AD0809及固态继电器等实现加热器。固态继电器的优点:高寿命,高可靠性,高一个完整的智能温度控制器。灵敏度,控制功率小,电磁兼容性好,电磁干扰小。1系统的硬件结构温度控制器的硬件结构如图1所示,AD590温收稿日期:2008-05-27作者简介:赵新雨(1984),女,辽宁铁岭人,硕士,研究方向为EDA技术及应用。图1温度控制器的硬件结构2009年第1期工业仪表与自动化装置·79·T=(x×0.02-2.73)÷0101的运算

7、,将接收的数据2FPGA功能模块设计调整为对应的数字信号,在读取ADC0809的数据FPGA器件设计采用自顶向下的设计方法,主后,先将转换数据左移1位(相当于乘以2),然后减要分解为3大模块,最后通过端口映射的方法,完成去273,当温度达到某一数值时(如19℃),使能信整体的设计。3大功能模块均用VHDL语言编程,号和清零信号为‘1’,此时时钟开始计时。同时该也可通过图形输入法设计,FPGA是系统的核心,系模块还用于调整温度控制的中心值参数,当a=1统选用了Altera公司的ACEX系列的EP1K30TC144时,中心参数加1,当b=1时中心参数减

8、1。例如当-3芯片,在QuartusII开发平台上,实现3大功能模中心值为25℃时,则温度在20~30℃之间开始计块:数据

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。